Network-on-chip is critical for performance. Network-on-chip is critical for performance



Yüklə 502 b.
tarix04.02.2018
ölçüsü502 b.
#23708



Network-on-chip is critical for performance.

  • Network-on-chip is critical for performance.



Electrical signaling

  • Electrical signaling

    • Repeater insertion needed
    • Bandwidth density (up to 8 Gbps/um) [Chang HPCA‘08]
  • Nanophotonics

    • Bandwidth density ~100 Gbps/ μm !!! [Batten HOTI’08]
    • Generally distance independent power consumption
    • Speed of light  low latency
      • Propagation
      • Switching [Cianchetti ISCA’09]


Basic components

  • Basic components



Selective

  • Selective

    • Couple optical energy of a specific wavelength


Modulation & detection

  • Modulation & detection

    • ~100 Gbps/μm bandwidth density [Batten HOTI’08]


Power Cost

  • Power Cost

    • Ring heating
    • Laser Power
    • E/O & O/E conversions
    • Distance insensitive
  • For short links (2.5mm)



Design an architecture that differentiates traffic.

  • Design an architecture that differentiates traffic.

    • Use electrical signaling for short links.
    • Use nanophotonics only for long range traffic.
  • What do we gain?

    • Low latency
    • High bandwidth density
    • High power efficiency
    • Localized arbitration
    • Scalability


Motivation

  • Motivation

  • Architecture of Firefly

  • Evaluation

  • Conclusion



Concentration

  • Concentration

    • 4 cores share a router
    • 16 routers


Concentration

  • Concentration

  • Clusters

    • Electrically connected
    • Mesh topology
    • 4 routers per cluster
    • 4 clusters


Concentration

  • Concentration

  • Clusters

  • Assemblies

    • Routers from different clusters
    • Optically connected
    • Logical crossbars


Clusters

  • Clusters

    • Electrical CMESH
  • Assemblies

    • Nanophotonic crossbars


Single-Write-Multiple-Read (SWMR) [Kirman’06] (CMXbar†)

  • Single-Write-Multiple-Read (SWMR) [Kirman’06] (CMXbar†)

    • Dedicated sending channel
    • Multicast in nature
    • Receiver compare & discard
    • High fan-out  laser power


Multiple-Write-Single-Read (MWSR)[Vantrease’08] (DMXbar†)

  • Multiple-Write-Single-Read (MWSR)[Vantrease’08] (DMXbar†)

    • Dedicated receiving channel
    • Demux to channel
    • Global arbitration needed!


Goal

  • Goal

  • Proposed design

    • Reservation channels
      • Narrow
    • Multicast to reserve
      • Destination ID
      • Packet length
    • Uni-cast data packet


Virtual-channel router

  • Virtual-channel router

    • Added optical link ports and extra buffer.


Routing

  • Routing

    • Intra-cluster routing
    • Traversing optical link


Clusters

  • Clusters

    • Short electrical links
    • Concentrated mesh
  • Assemblies

  • Benefits



Motivation

  • Motivation

  • Architecture of Firefly

  • Evaluation

  • Conclusion



Cycle-accurate simulator (Booksim)



Throughput

  • Throughput

    • Up to 4.8x over OP_XBAR
    • At least +70% over Dragonfly


Reduced hardware by partitioning

  • Reduced hardware by partitioning

    • Reduced heating
  • Throughput impact

  • Locality

    • 34% energy reduction over OP_XBAR with locality


α is heating ratio and β is laser ratio.

  • α is heating ratio and β is laser ratio.

  • Firefly favors traffic locality.



Technology impacts architecture

  • Technology impacts architecture

    • New opportunities in nanophotonics
      • Low latency, high bandwidth density
    • Tailored architectures needed
  • Firefly benefits from nanophotonics by providing

    • Power Efficiency
      • Hybrid signaling
      • Partitioned R-SWMR crossbars  Reduced hardware/power
    • Scalability
      • Scalable inter-cluster bandwidth
      • Low-radix routers/crossbars


Yüklə 502 b.

Dostları ilə paylaş:




Verilənlər bazası müəlliflik hüququ ilə müdafiə olunur ©genderi.org 2024
rəhbərliyinə müraciət

    Ana səhifə