Respublihasi


RAQAMLI QURILMALAR Triggerlar



Yüklə 1,87 Mb.
səhifə36/36
tarix25.12.2023
ölçüsü1,87 Mb.
#161119
1   ...   28   29   30   31   32   33   34   35   36
Respublihasi

RAQAMLI QURILMALAR

  1. Triggerlar


11.1.1. Mantiqiy elementlardan taxhkil topgan triggerlar
Trigger deb, ikkita turg‘un holatdan birida tura olib, bir holatidan ikkinchisiga kirish signali ta'sirida o‘tadigan qurilmaga aytiladi. Trigger holati kirish signali orqali aniqlanadi. Trigger ketma-ketlik raqamli qurilmaning baza elementi hisoblanadi. Unda 0 yoki 1 saqlanishi mumkin.
Ketma-ketlik raqamli qurilmaning sxemalarida triggerlar ikkita chiqish qismiga ega: 1) to‘g‘ri Q (1 chiqish); 2) inversli Q (0 chiqish). Triggerning 1 holatida uning Q chiqish qismida yuqori sathli signal (1) va Q chiqish qismida esa past sath (0) bo‘ladi. Triggerning 0 holatida Q chiqish qismida kichik sathli (0) signali,
Q chiqish qismida esa yuqori sathli (1) lar mos keladi.
Triggerlarning kirish qismlari informatsion (axboriy) va yordamchi (boshqaruvchi)larga bo‘linadi. Informatsion (axboriy) kirish qismiga kelayotgan signallar triggerning holatini boshqaradi. Yordamchi kirish qismiga kelayotgan signallar esa triggerni avvaldan kerak bo‘lgan holatiga o‘tkazish va sinxronlashtirish uchun xizmat qiladi. Yordamchi kirish qismlari axboriy qism sifatida qo‘llanilishi mumkin.
Triggerning kirish qismlari uning strukturasiga va vazifasiga bog‘liq bo‘ladi. Triggerning axboriy kirish qismlari -, R, J, K, D, T, boshqaruvchi kirish qismlari esa C, V harflari bilan belgilanadi. Triggerlar uchun standart belgilanish (11.1-rasm) ma'lum. Bu yerda - va R axboriy kirish bo‘lib, Q va Q — chiqishlari, T trigger belgisidir. Inversli chiqish qismi Q doira shakli bilan belgilangan.
Trigger mantiqiy elementlarning ulanishi, ya'ni chiqishdan kirish qismiga teskari aloqa sxemasi (11.2-rasm)da tasvirlanganidek qurilishi mumkin. Trigger 0 (Q=0, Q =1) holatda bo‘lsa, R va - kirish qismida 0 signallar beriladi. Trigger holati o‘zgarmaydi. Haqiqatan ham, birlik chiqish signali Q sxemadagi YOKI sxemasining 1- nomerli kirishiga keladi. -hu sxemaning chiqish
151




S




R









R S

Q


Q
11.1-rasm. 11.2-rasm.

qismida R=0 ekanligini inobatga olinsa, birlik chiqish signali hosil bo‘ladi. Bu signal 2- nomerli INKOR sxemasining kirish qismiga keladi. Natijada ushbu sxemaning chiqish qismida, ya'ni Q chiqishida avvalgidek signal 0 bo‘ladi. -xema 2- chiqish qismidan 0 signali 3- nomerli YOKI sxemasining biror kirish qismiga keladi.


Ushbu sxemaning ikkinchi kirish qismi - ga ham 0 signali beriladi. Natijada, 3-sxemaning chiqish qismida nol signali bo‘ladi. Bu signal 4-raqamli INKOR sxemasining kirish qismiga keladi va chiqish qismida birlik signal, ya'ni triggerning nol holati ( Q =1, Q=0) tasdiqlanadi. -huningdek, agarda trigger 1 holatda bo‘lsa va R va - kirish qismlarida nol signali bo‘lsa, bunday holat saqlangan bo‘lur edi.
Endi faraz qilaylik, triggerning kirish qismiga nol holatda (Q=0, Q =1) bo‘lganida, informatsion signallar -=1, R=0 keladi. - signal ta'sirida YOKI 3- raqamli sxemasining chiqish qismida birlik signal bo‘ladi. Bu holda INKOR 4-raqamli sxemasining chiqish qismida signal 0 bo‘ladi. Demak, trigger birlik (Q=1, Q =0) holatiga o‘tadi. Haqiqatan ham, chiqish signali Q =0 4-raqamli INKOR sxemasining chiqishidan 1- raqamli YoKI sxemasining kirish qismiga keladi. Ushbu sxemaning chiqish qismidan nol signali 2- raqamli INKOR sxemasining kirish qismiga keladi. Natijada bu sxemaning Q chiqishida birlik signali hosil bo‘ladi, u 3- raqamli YOKI sxemasining kirish qismiga keladi. Agar - ning kirish qismidagi
birlik signalini olib tashlansa, tringger birlik holatida qolaveradi.
Triggerni nol holatiga o‘tkazish uchun, R kirish qismiga birlik signali berilishi hamda - kirish qismiga esa nol signali berilishi lozim. Triggerni birlik holatidan nol holatiga o‘tkazish yuqorida bayon etilganidek amalga oshiriladi.
Triggerni teskari aloqali sxemadan tashkil etilishi uning asosiy strukturasini tashkil etadi. Bu uslubdan foydalanib turlicha trigger sxemalarini tashkil etish mumkin.
152
11.1.k. To‘g‘ri kirixhli axinxron RS- trigger
Bu triggerlar ikkita informatsion (axboriy) - va R kirishga ega bo‘lib, 1 va 0 larni mos ravishda belgilaydi: to‘g‘ri Q va inversli
Q. RS-trigger ikkita mantiqiy element YOKI-INKORlardan tuzilgan (11.3-a, rasm).


S


Q
Q

Q Q


R



  1. b)

11.S-rasm.

R--triggerning grafik belgilanishi 11.3, b-rasmda keltirilgan bo‘lib, faoliyat qonuniyati 11.1-jadvalda keltirilgan.





11.1-jadval


11.2-jadval


Jadvaldan ko‘rinadiki, -t=1, Rt=0 signallar kombinatsiyasida trigger 1 (Qt+1=1) holatiga avvalgi holati Qt dan qat'iy nazar o‘tadi.
153

-t=0, Rt=1 signallarni terilganda, trigger 0 (Qt+1=0) holatiga o‘tadi. -ignallar -t=0, Rt=0 kombinatsiyasida trigger holati o‘zgarmaydi, ya'ni t+1 holda trigger holati Qt+1=Qt bo‘ladi.
-ignallar -t=1, Rt=1 yig‘indisi man etilgan bo‘ladi, chunki u trigger ish rejimini buzadi va noaniq holatga olib keladi.
R--triggerlarda to‘g‘ri boshqarish kirish bilan birlik signal sathiga ega. Elementni bir holatdan ikkinchi holatga o‘tkazuvchi signallar aktiv deb ataladi. R--triggerlarni qurish uchun aktiv signal 1-darajali bo‘ladi.
Triggerning t+1 holati (jadval) Karno kartasi ko‘rinishida berilishi mumkin. Karno kartasidan foydalanib, minimal nol funksiyasini R--trigger ishlashini qurish mumkin:

.
Qt+1=-t R t Qt
Keltirilgan ifodadan ko‘rinadiki, R--trigger 1 holatda, kirish qismiga -t=1, agarda Rt=0 va trigger Qt=1 holatida bo‘lsa, o‘rinli bo‘ladi.

11.1.3. Inverxli kirixhga ega bo‘lgan axinxron RS-trigger


Bu turdagi triggerlar VA-YO‘Q mantiqiy elementlarida quriladi. Bunda 0 (nol) sath signalning aktiv kirishi, 1-sath esa passiv kirish. Informatsion kirishlari va mos ravishda bunday triggerlarning signallarini inversli ( S, R ) ko‘rinishda belgilash qabul qilingan. Bunday holda inversli kirishga ega bo‘lgan trigger, to‘g‘ri kirishli triggerdagidek.
R--triggerning inversli kirishga ega bo‘lgan sxemasi 11.4- rasmda, shartli belgilanishi esa 11.5-rasmda tasvirlangan.


S Q
Q


Q Q
R

11.4-rasm. 11.5-rasm.


154
R--triggerni VA-YO‘Q mantiqiy elementlarida ishlashi 11.6-

jadvalda keltirilgan bo‘lib, bundan ko‘rinadiki,
S  R  0

kombinatsiyasi man etilgan, S  R  1 yig‘indi neytraldir. Bunda,
agarda YOKI-YO‘Q elementli triggerning har ikkala kirish qismidagi signallar nolli bo‘lib, neytral kombinatsiyani tashkil etsa, VA-YO‘Q elementli trigger uchun u man etiladi.
Asinxron R--triggerning tezkorligi qurilmaning holatining kechikishi tt har bir elementda kechikish te yig‘indisi bilan aniqlanadi.
Hozirgi holat uchun

tt=2te.

11.S-jadval



S



S t

R t

Qt

Qt+1

0

0

0



0

0

1



0

1

0

1

0

1

1

1

1

0

0

0

1

0

1

0

1

1

0

0

1

1

1

1



11.1.4. Statik boxhqaruvli axinxron RS-triggerlar
-inxron R--trigger asinxronlidan C kirish qismi mavjudligi bilan farqlanib, unga sinxronlashtiruvchi (taktli) signallar beriladi.
-inxron trigger asinxron R-- triggerdan va kombinatsion raqamli qurilmadan tuzilgan (11.6-rasm).


Q Q
G


Q Q
11.6-rasm. 11.7-rasm.
155

11.6-rasmdan ko‘rinib turibdiki, sinxron trigger VA-YO‘Q elementlaridan qurilgan. -xema 1 uchta -, C, R kirish qismlariga va ikkita chiqish qismiga ega bo‘lgan kombinatsion raqamli qurilmani tashkil etadi (KRQ). -xema 1 ikkita VA-YO‘Q elementlaridan tuzilgan asinxron R--triggerni tashkil etadi. Kombinasion raqamli qurilmaning (KRQ) kirish mantiqiy elementlari C=0 bo‘lganda blokirovkalangan (yopiq) bo‘ladi. Ularning chiqish qismlaridagi qiymat 1 birlikka ega hamda kirish
- va R signallariga bog‘liq bo‘lmaydi.
VA-YO‘Q elementli asinxron R--trigger uchun birlikdan tashkil topgan signallar yig‘indisi neytral bo‘ladi. Trigger o‘z holatini saqlaydi. C=1 bo‘lganda, R- asinxron triggerning kirish qismiga axboriy R va - signallarni uzatish uchun, kombinatsion raqamli qurilmaning (KRQ) kirish mantiqiy elementlari sxemalari ochiq bo‘ladi. Demak, ruxsat etilgan signal mavjudligida, sinxron trigger asinxron trigger qoidasi bilan ishlaydi.
VA-YO‘Q elementli asinxron R--triggerning ishlash qonu- niyatini 11.5-jadvaldan ko‘rish mumkin.
11.6-jadvalda sinxron R--triggerning ishlash tamoyilini ko‘r- satuvchi Karno kartasi tasvirlangan. Karno kartasidan foydalanib, sinxron R--triggerning ishlash prinsipini tushunish uchun minimal Bul funksiyasini olish mumkin:

Qt+1= R t Qt
G t Qt Ct -t Qt ( R t G t) CtRt.

Agar kirish qismlari C va R larga 1 birlik berilayotgan bo‘lib, yoki 1 birlik ushbu kirish qismlarida bo‘lmasa, Q- 1-birlik holatini sinxron R--trigger saqlaydi. 4.10-rasmda sinxron R--triggerning grafik belgilanishi VA-YO‘Q, VA-YOKI-INKOR mantiqiy elementlarida hamda ularning kombinatsiyalarida ham ko‘riladi.
Triggerning umumiy turg‘un holatiga o‘tish vaqti tt uchta mantiqiy elementning vaqt ushlanishi te yig‘indisiga teng:
tt=3te.
Bunda signalning vaqt kengligi ts C-kirishda:
tstt = 3te .
Ikki signal orasidagi pauza vaqti tp C-kirishda kirish elementini (KRQ) ulab-uzish uchun yetarli bo‘lishi lozim (sxema 1, 11.6- rasm):
tp  te.
156

Demak, C-kirishdagi sinxronlovchi signallarni minimal takror- lanish davri 4te, eng katta chastotasi
Y=1/(4te).
11.5-jadval



0

0

0

0

0

0

0

0

1




0

0

1

0

0

0

0

1

1

1

0

1

0

0

0

0

1

0

1

1

0

1

1

0

0

0

1

1

1

1

1

0

0

0

0

1

0

0

1

1

1

0

1

0

0

1

0

1

1

0

1

1

0

0

1

1

1

0

1

1

1

1

1

0



1

1

1

1



11.6-jadval



0


0





0





1





































1

1







1




1




























1


1








-





0







0


0





-





0







0 01 11 10

00
01


11
10
157
11.1.5. Dinamik boxhqaruvli xinxron trigger
C kirishda, 0-darajadan 1-darajaga yoki aksincha bo‘lishi uchun, dinamik boshqaruvli sinxron trigger axborotni o‘zgarish holatini qabul qiladi. Dinamik boshqaruvli R--triggerni 11.8- rasmdagidek qurish mumkin.
Agarda C=0 bo‘lganda, kirish qismiga R va - qandaydir axboriy daraja berilsa, C kirish qismida darajani 0 dan 1 ga almashtirilsa, 1-element chiqishida 0 hosil bo‘ladi, u 3-element kirish qismiga ulanadi va uning chiqish qismida 1-darajani - kirishdagi qiymatdan qat'iy nazar ta'minlaydi.


Q Q

Q Q


R G
a ) b)
11.8-rasm.

Mantiqiy - uziladi va hech qanday o‘zgarishni R va - trigger kirishida qabul qilmaydi. Bu jarayon C kirishda 0 daraja 1 darajaga o‘tgunicha bo‘ladi.


-huningdek, dinamik kirishli R--triggerni mantiqiy YOKI- YO‘Q elementlarida qurish mumkin (11.9-rasm).


SQ
Q




Q Q

R


G
a ) b)
11.9-rasm.
158
Bu yerda axborot triggerning R va - kirishda C=1 darajani C=0 darajaga almashinuvida qabul qilinadi. Bunday triggerning shartli belgilanishi 11.9,b-rasmda tasvirlangan. 11.10,a-rasmda esa dinamik kirishga ega bo‘lgan D triggerning sxemasi keltirilgan, uning shartli belgilanishi esa 11.10,b-rasmda tasvirlangan.

QQ


Q Q
D



  1. b)

11.10-rasm.
S & &
T Q Q
S
& & Q Q
D
G
a) b)

11.11-rasm.


D kirishda triggerda axborot qabul qilish C kirishdagi 0-darajani 1-darajaga almashinishida sodir bo‘ladi. Dinamik kirishga ega bo‘lgan JK-triggerning sxemasi 11.11,a-rasmda, uning shartli belgilanishi esa 11.11,b-rasmda tasvirlangan. Triggerning Qt=1 holatidan Qt+1=0 holatiga o‘tishi K=1 va Qt=1 hamda KQt=1 bo‘lganida sodir bo‘ladi. Boshqa holda avvalgi belgilangan Qt+1=Qt holat saqlanadi.


R--triggerdan JK-triggerni hosil qilish uchun S va R asinx- ron R--triggerning kirish qismida -=JQt va R=KQt sathni ta'minlash lozim. Kirish belgisi - ni J ga , R ni K ga almashtirib, R--trigger chiqish qismini 1 va 2 elementlar kirishiga teskari aloqa kiritib, JK dinamik kirishli trigger sxemasini hosil qilamiz.

159
11.k. Regixtrlar


n-razryadli ikkilik mantiqiy o‘zgartirishni va saqlashni qo‘llovchi ketma-ketlikdagi raqamli qurilma registr deb ataladi. -o‘zdagi razryad soniga mos keluvchi tartibli ketma-ketlik trigger registrini tashkil etadi. Har bir registr bilan qandaydir kombinatsion raqamli qurilma odatda bog‘liq, uning yordamida mantiqiy operatsiya yoki n-razryadli so‘zlar bajarilishi ta'minlanadi.
Registrda quyidagi mikrooperatsiyalar bajarilishi mumkin: boshqa ketma-ketlik raqamli qurilmadan so‘z qabul qilish, registrdan boshqa ketma-ketlik raqamli qurilmaga so‘z uzatish, razryadlararo mantiqiy operatsiya, so‘zni chapga yoki o‘ngga berilgan razryad soniga surish, ketma-ketlik so‘z kodini parallelga va aksincha o‘zgartirish, registrni boshlang‘ich holatiga qo‘yish. Mikrooperasiyalarni bajarish sxemasi kombinatsion raqamli qurilma (KRQ) yordamida amalga oshiriladi.
Ikkilamchi n-razryadli so‘zni saqlash uchun registr sxemasi 11.12,a-rasmda keltirilgan. Registr - n-triggerdan iborat. Registr
holati triggerning holati bilan aniqlanadi. Unda parafazali Sn ,
Sn,..., S1 , S1 kodlarda chiqish signali terilmasi bilan tasvirlanadi. Registr ga ikkilamchi n-razryadli X=xn, xn-1,...,x1 so‘zlar parafaza
kodlarda X n , Xn, ..., X 1 , X1 kirish signallari majmuasi yordamida
saqlash uchun berilishi mumkin.
Agar kirish qismiga XI signallar 0 yoki 1 birlikda kelayotgan bo‘lsa, - registr triggerlaridan o‘zgaruvchi qiymatlarga mos qiymatlar sodir bo‘ladi. Registrning trigger-larida -qX qiymat yangi kirish signali kelguniga qadar saqlanadi. Registrdagi razryadlar soni uning uzunligini aniqlaydi.
Registr uchun shartli belgilanish 11.13,b-rasmda tasvirlangan: registr turi (-), katta (n) va kichik (t) razryadlari ko‘rsatilgan.
Registrning kirish zanjiri kirish shinasi X(n), chiqish esa chiqish shinasi -(n) deb ataladi. Registrning n-razryadli holatini n-razryadli ikkilamchi so‘z bilan belgilanadi. n-razryadli - triggerning holatlari soni 2n bo‘ladi. Agarda registr raqamni saqlash uchun qo‘llanilsa, registrning har bir holatiga mos keluvchi biror butun sonni 0...(2n-1) oraliqda olish mumkin.
Registr holati butun raqamlarda ikkilik hisoblash tizimida keltiriladi. Registr holatini yozishni qisqartirish uchun sakkizlik va o‘n oltilik ko‘rinishdagi ikkilik soni ko‘rinishida foydalanish mumkin. Registr holatini nafaqat ikkilik alfavitida, balki ixtiyoriy boshqa alfavitda
160
ham ko‘rsatish mumkin. Xususan, keng qo‘laniladigan simvollardan biri sakkizta ikkilik razryadi yig‘indisi sifatidagi bayt deb ataluvchi bo‘lishi mumkin. Bu holda registr 8 razryadli registr ostiga bo‘linadi. Ikkilik alfaviti o‘rniga 0 va 1 ikki simvoldan iborat bo‘lgan 256 simvolli alfavitdan foydalanish mumkin, unga kirill va lotin alfaviti, raqamlar, turli simvollar kirishi mumkin.



a)
b)




11.12-rasm. 11.1S-rasm.


Murakkab raqamli qurilmalarni tuzishda registrlar asosiy rol o‘ynaydi. Haqiqatan ham, har qanday raqamli qurilmani o‘zaro KRQ sxemasi bilan ulangan registrlar majmuasi ko‘rinishida tasavvur qilish mumkin (11.12-rasm). Registr sxemalarini analizidan ma'lumki, uning xususiyati bir jinsli strukturaga egaligidir.
Registr bir tipli elementlardan (triggerlar) tashkil topgan bo‘lib, o‘zaro ketma-ketlikda takroriy joylashadi. Elementlarining registrda bir tipliligi va takroriyligi, uni tayyorlanishini, nazoratini, foydalanilishini nisbatan soddalashtiradi. Registrni bir turli avtomatlar majmuasi kabi bayon etish mumkin. Bir registrni elementini avtomatik tarzda bayon etish va elementlar sonini ko‘rsatishning o‘zi kifoya qiladi.



11— A.A. Xoliqov
161

Registr sxemalarini takroriyligidan KRQ takroriy sxemalarini qurish va unda mittioperatsiyalarni bir xil ko‘p elementlarda bajarish imkoniyati vujudga keladi. Bu esa nisbatan KRQ sxemalarini sintez qilish imkoniyatini tug‘diradi. Bunday uslub KRQni murakkab bayon etilishini n-o‘zgaruvchili Bul funksiyada oddiy KRQ ni bayon etishga olib keladi.
Registrlarda bajariladigan mitti operatsiyalar turlariga qarab, registrlar quyidagi turlarga bo‘linadi: parallel qabul qilib, axborot beruvchi, ketma-ket qabul qilib, axborot beruvchi, ketma-ket qabul qilib, parallel axborot beruvchi, parallel qabul qilib va ketma-ket axborot beruvchi.
Registrlar kirish va chiqish kanallari soniga qarab, bir fazali (signallar bir kanaldan uzatiladi) va parafazaliga (signallar 2 kanaldan uzatiladi) bo‘linadi. Parafazali registrlar R--triggerlarda, bir fazalilari esa D-triggerlarda bajariladi. Registrlar razryadlar sonlari va tezligi, maksimal takt qabul qilish chastotasi, uzatish va axborot surilishi bilan tavsiflanadi.
11.k.1. Xotira regixtri
Parallel qabul qiluvchi va axborot uzatuvchi registr xotira registri deb ataladi. Xotira registri elementi sifatida bir fazali kirish signalli (11.14-rasm) sinxron D-triggerlar yoki parafazali kirish signalli R--triggerlar qo‘llaniladi.



„0 ga o‘tkazish“ „0 ga o‘tkazish“
11.14-rasm. 11.15-rasm.
162
-n -n-1 -1

11.16-rasm.




11.k.k. Siljixh regixtrlari
Ketma-ket qabul qiluvchi yoki axborotni uzatuvchi registrlar siljish registrlari deb ataladi. O‘ngga siljituvchi registrda X1 birinchi razryad son kiritish bilan, oxirgi chap tomonidagining kirish qismiga berilib, -n registr razryadli va unga birinchi C sinxronlashtiruvchi signal kelganida, amalga oshiriladi. Keyingi signal kelishi bilan X1 sinxronizatsiya qiymati -n chiqish razryadidan -n-1 razryadiga kiritiladi, -n razryadiga esa X2 uzatiladi. Har bir traktda kelayotgan axborotni bir razryadga o‘ngga siljishi sodir bo‘ladi.

-ignallarning n sinxronizatsiyasida hamma registrlar X razryad
soni bilan to‘ladi va birinchi razryad soni X1, S1 chiqish qismida
paydo bo‘ladi. Agarda n signallar sinxronizatsiyasi -n kirish razryadiga X=0 uzatilsa, unda registrda X son -1 chiqishdan chiqadi va oqibatda registrning chiqish qismi X sonini saqlab
turishdan ozod bo‘ladi. -iljish registrlari D- triggerlarda (11.16- rasm) yoki R-- triggerlarda (11.17-rasm) amalga oshiriladi. Oxirgi sxemada axborotni kiritish uchun birinchi razryadga invertor sxemasi ulanadi.

Registrda axborotni parallel chiqarib olish uchun siljish registrining hamma chiqish razryad qismlari turli qutblarga -n,
11.17-rasm.
163
-n-1, ..., -1 ulanadi. -iljish registrini hosil qilishda C kirish qismi bo‘yicha dinamik boshqariladigan triggerlardan foydalaniladi. Bunday triggerlarning qo‘llanishi siljish registrining me'yorda ishlashini
ta'minlaydi. 11.18-rasmda dinamik boshqariladigan chapga siljish registri D- triggerli sxemasi tasvirlangan.

-iljish sxemasini o‘ngga va chapga kombinatsiyalab hamda boshqarish signalidan foydalanib, siljish registrini ikkala yo‘nalishda ham qurish mumkin. Bunday registr (11.19-rasm) reversiv deb ataladi. Boshqaruvchi V1 kirish qismiga beruvchi signal berilsa, siljish sxemasi o‘ng tomonga ulanadi. Reversiv registr bu holda o‘ngga siljish registriga aylanadi. Boshqa-ruvchi kirish V2 qismiga beruvchi signal berilsa, siljish sxemasi chap tomonga ulanadi. Reversiv registr bu holda chapga siljish registriga aylanadi. Chapga va o‘ngga siljish registrlarida ikkilik kodi razryadlari registr razryadi chegarasidan ortadi. Agarda chetki o‘ng razryad registrini chekka chap razryad kirish qismi bilan ulansa, bunda halqasimon (siklik) siljish registrini hosil qilinadi. Reversiv registrlardan „stekli“ registrlarni hosil qilish uchun foydalanish mumkin. Bu holda umumiy kirish va chiqishga ega bo‘ladi. Bunday registrlar „birinchisi kirdi-oxirgisi chiqdi“ tamoyilida ishlaydi. -tekli registrlarni magazin tipidagi registrlar ham deyiladi.
11.18-rasm.
V1

11.19-rasm.
164
11.k.3. Regixtrlarning qo‘llanilixhi
Registrlar axborotlarni turlicha vaqtli o‘zgartirilishlarda qo‘llaniladi. -iljish registrlari ko‘paytirish va bo‘lish sxemalarida qo‘llaniladi: sonni chapga yoki o‘ngga bir razryadga siljitish uni ikkiga ko‘paytirishga yoki bo‘lishga mos keladi. Registrlarni axborot uzatishda „n“ taktga ushlab qolish uchun ham qo‘llash mumkin. Ko‘p sonli registrlardan tashkil topgan murakkab KRQlarda so‘zni bir registrdan boshqasiga uzatish masalasi paydo bo‘ladi. Buni maxsus so‘z uzatish mikrooperatsiyasi yordamida amalga oshirilishi mumkin. Ikkita registr bir-biri bilan KRQ yordamida ulanadi, uzatish boshqaruv sxemasini tashkil etadi. Registr - dan registr R gacha so‘zni uzatish uchun, uni zatish mikrooperatsiyasi ko‘rinishida R:=- yozish mumkin.

-o‘zni uzatish parafazali va bir fazali kodlarda amalga oshirilishi mumkin. Parafazali uzatish mikrooperatsiyasida R:=- bajaruvchi V signali bo‘yicha beriladi. Ushbu signaldan registr R- triggerlari registr -- triggerlari holatiga mos keluvchi holatga o‘tkaziladi. Bunda - registri holati o‘zgarmaydi (11.20-rasm). Registrlararo so‘zlarni uzatish bir fazali kod yordamida amalga oshirilishi mumkin. Bunday holda so‘zni uzatish ikki taktda amalga oshiriladi.
11.20-rasm. 11.21-rasm.
165
Birinchi taktda R registr nol holatiga o‘tadi, ya'ni „0 ga o‘tkazish“ mikrooperatsiyasi bajariladi (R:=0) „0-ga o‘tkazish“ signali bilan hamma R registr triggerlari 0 holatiga o‘tadi. Ikkinchi traktda R:=- uzatish mikrooperatsiyasi bajariladi (11.22-rasm). Ushbu sxemada jihozlar soni tejaladi, lekin axborot uzatish vaqti ortadi.
Ko‘pchilik hollarda biror P-U registrlaridan boshqachasiga teskari kodli axborot uzatish talab etiladi. Teskari kodli uzatish mikrooperatsiyasini bajarish uchun, R:= S ko‘rinishda 11.21- rasmdagidek registr triggerining nolinchi va birlik chiqish qismlari uzatish sxemasi ulanishida o‘rin almashadi yoki registr - triggerlarining nolinchi chiqish qismlari uzatish sxemasiga (11.21- rasm) ulanadi.
P-Ularda, shuningdek, invertorlash R:= R mikrooperatsiyasi ham qo‘llaniladi, u so‘z kodini registr R da teskarisiga almashtiradi. Ushbu holatda registrdagi har bir triggerdagi operatsiya o‘zgarishi teskari holga o‘zgaradi. Invertorlash mikrooperatsiyasi nisbatan sodda, registr triggerlari hisoblash kirish qismlariga boshqaruvchi signal berilishi bilan amalga oshiriladi.

    1. Hixoblagich, chaxtota bo‘luvchi va jamlovchilar

Umumiy ma'lumotlar. Axborot so‘zini saqlashni ta'minlovchi va hisoblash mikrooperatsiyasini bajaruvchi ketma-ketlik raqamli qurilma hisoblagich deyiladi. Hisoblash mikrooperatsiyasida C sonni hisoblagichda 1 ga o‘zgartiriladi.
Hisoblash mikrooperasiyasi bajarilishida C:=C—1, „—“ esa ayiruvchi deyiladi. Har ikkala mikrooperatsiya bajarilishi mumkin bo‘lsa, hisoblagichni reversiv deb ataladi.
Hisoblagichning asosiy parametri Kc hisoblagich moduli-bu hisoblagich hisoblashi mumkin bo‘lgan maksimal birlik sondir.
Hisoblagich n ikkilamchi razryadga ega bo‘lib, 0,1,2,...,2n 1 holatlarida bo‘lishi mumkin. Jamlovchi hisoblagichning kirish qismiga 2n birligi kelganida, u 2n—1 holatidan 0 holatiga o‘tadi. -hunday qilib, n razryadli ikkilamchi jamlovchi hisoblagichning hisoblash moduli Kc=2n bo‘ladi.
Hisoblagichlar ruxsat etilgan kirish signallari chastotasi bilan
ham tavsiflanadi. Hisoblagichlar, odatda, T- triggerlardan tuziladi. Lekin, ularni tuzishda nafaqat hisoblagichli kirishga ega bo‘lgan triggerlar, balki D- triggerlar, JK- triggerlar ham qo‘llaniladi. Hisoblagichlarni bir necha xususiyatlari bo‘yicha tasniflash (sinflarga
166
bo‘lish) mumkin. Hisoblash yo‘nalishiga binoan jamlovchi (to‘g‘ri hisobli) va ayiruvchi (teskari hisobli) bo‘ladi. O‘tkazish sxemasi bo‘yicha hisoblagichlar ketma-ket, parallel va ketma-ket-parallel o‘tkazuvchanlarga bo‘linadi.
-inxronlashi mavjudligi bo‘yicha sinxron va asinxron hisob- lagichlarga bo‘linadi. Hisoblagichni tamg‘alab (markirovka) bel- gilashda IE harflari bilan tasvirlanadi. Konstruktiv jihatidan trigger- lar, mos ravishda, ulangan integral sxemalar majmuasi ko‘rinishida bo‘lib, yoki ko‘p razryadli hisoblagichli bitta integral sxemadan tashkil topadi.
11.3.1. Jamlovchi ikkilik hixoblagichlar
n triggerlardan tashkil topgan ikkilik n razryadli jamlovchi hisoblagichda raqamlar ketma-ketligi hisobi amalga oshiriladi. Bunday ketma-ketlik 0 dan boshlanadi.
Bunday ketma-ketlik navbatdagi son avvalgisiga bir birlik qo‘shilishi bilan aniqlanadi. Ketma-ketlik maksimal 2n-1 soniga erishilganida, u yana 0 dan o‘tadi va takrorlanadi. N triggerli
hisoblagichda mumkin bo‘lgan holati 2n, hisoblash moduli Kc ham shuningdek, 2n bo‘ladi. Hisoblagichning har bir holatiga
hisoblash ketma-ketligida 0 dan 2n-1 gacha son mos keladi. Jamlovchi 3 razryadli ikkilik hisoblagichini ko‘rib chiqaylik. Bunday hisoblagichda 0 dan 23-1=7 gacha ketma-ketlikni amalga oshirish mumkin. Raqamlar ketma-ketligi 3-razryadli ikkilik b3b2b1 soni: 000, 001, 010, 011, 100, 101, 110, 111 ikkilik sonlari
bilan berilishi mumkin. Har bir razryad b1 ga trigger chiqish soni
Qi ni qo‘yamiz. 3-razryadli Q3Q2Q1 chiqishlari bilan hisoblagichda 0 dan 7 gacha raqam ketma-ketligi amalga oshiriladi.
Hisoblagich ikki pog‘onali hisoblash kirishiga ega bo‘lgan T- triggerlardan tuziladi. Jamlovchi 3-razryadli ikkilik hisoblagichning sxemasi 11.22-rasmda keltirilgan. Ushbu sxemada hisoblagichning boshlang‘ich holati shinaga boshqaruvchi signal berilib o‘tkaziladi. Kirish signali C0 shinadan tugashi bilan trigger 1 ning hisoblash kirish qismiga beriladi. Hisoblagichning ishlash tamoyilini 11.22,b-
rasmdagi vaqt diagrammasi orqali tushunish mumkin.
Birinchi signal kelguniga qadar, hisoblagich nolinchi holatda bo‘ladi. Bu holat Q1Q2Q3 chiqish qismlarida 0 birlikka mos keladi.
-hinadan C0 kirish signali birinchi triggerga kelishi bilan
hisoblagich ishlay boshlaydi. Birinchi signal kelishi bilan 1-trigger 1 holatiga o‘tadi va uning chiqish qismida Q=1 sath belgilanadi.
167
Q1 Q2



„0 o‘tkazish“
a ) b)

C0

Q1
Q2


Q3

11.2S-rasm.


Triggerlar 2 va 3 larning hisoblash kirish qismlarida 1 ni 0 ga o‘zgarishi kutilmaydi. -huning uchun triggerlarda Q2=0, Q3=0 holatlar saqlanadi. Ikkinchi signal kelishi bilan 1 trigger 0-holatga o‘tadi. Uning chiqish qismida sathning o‘zgarish vaqti Q'1=1, Q1=0 ga 2-trigger 1 holatga o‘tadi va uning chiqish qismida Q2=1 sath o‘rnatiladi. 3-triggerning holati o‘zgarmaydi. 3-trigger 1- triggerning hisoblash kirish qismiga tartib bo‘yicha 4-hisob signali kelganidagina 1 holatga o‘tadi. Bunda 1-trigger 1 holatdan 0 holatga o‘tadi. 1 holatdan 0-holatga o‘tishda, ikkinchi kirish qismida sath birdan nolga o‘zgarishiga olib keladi. Natijada 2- trigger 1-dan 0 holatiga o‘tadi. Bunday o‘tish sathni 1 dan 0 ga 3-hisoblash kirish qismida o‘zgarishga olib keladi. Natijada 3- triggerning Q3 chiqish qismida 1 sath o‘rnatiladi. Bunda 1 va 2- triggerlarning chiqish qismlarida 0 sath bo‘ladi. Natijada, hisoblagichda to‘rt (4) raqami ikkilik ko‘rinishida belgilanadi. Bu esa to‘rtinchi signal kelishi vaqtiga mos kelishini belgilaydi.


-akkizinchi signal kelish vaqtida triggerlar Q1Q2Q3 chiqish qismlarini 1 birlik sath o‘rnatiladi. 1-triggerning hisoblash kirish
168

qismiga sakkizinchi signal kelishi bilan uning holati 1 dan 0 ga o‘tadi. O‘z navbatida, 1-trigger holati o‘zgarishi 2-trigger holatining o‘zgarishiga olib keladi. 2-trigger holatining o‘zgarishi esa 3-trigger holatining o‘zgarishi olib keladi.
Natijada hamma triggerlar 0 holatga o‘tadi. Hisoblagichda sakkiz signaldan iborat yangi ketma-ketligi tayyor bo‘ladi. Hisoblagichning ishlash qonuniyati 11.7-jadval ko‘rinishida, shartli belgilanishini esa 11.23-rasmdagidek tasvirlash mumkin.
11.7-jadval


Kombinasiya tartibi

C0

Triggerlar holati

Qt
3

Qt
2

Qt
1

Qt 1
3

Qt 1
2

Qt 1
1

1

1

0

0

0

0

0

1

2

1

0

0

1

0

1

0

3

1

0

1

0

0

1

1

4

1

0

1

1

1

0

0

5

1

1

0

0

1

0

1

6

1

1

0

1

1

1

0

7

1

1

1

0

1

1

1

8

1

1

1

1

0

0

0

11.8-jadval





bi

Mi

Ci

Mi+1

0

0

0

0

0

1

1

0

1

0

1

0

1

1

0

1

Hisoblagich ishlashini uning avvalgi raqamiga bir birlikni qo‘shish jarayonidek tasavvur etish ham mumkin. Bunday qo‘shish ikkilik tizimida sonlarni qo‘shish operatsiyasi qoidasi bo‘yicha bajariladi. Bunda quyidagilarni ta'kidlash mumkin:



  1. agar hisoblagichning avvalgi qiymati kichik razryadida 0 bo‘lsa, qo‘shishda faqat kichik razryad 1 ga o‘zgaradi;

  2. agarda m-kichik razryadlarda 1 birlik bo‘lsa, hamda m+1

169
razryadda 0 bo‘lsa, m-raqami kichik razryadlarda 0 qiymatiga o‘zgaradi, (m+1)-razryadda esa 1 qiymatiga o‘zgaradi.
Masalan,
1) + 110 2) + 011
1 ; 1 .


111 100

b1, ..., bn — qo‘shishdan avvalgi razryad raqamlari soni, C1,


...,Cn — bir birlikka qo‘shilganda so‘nggi razryad raqamlari soni. (i—1)-razryadda qo‘shishda shakllangan sonni o‘tkazishni Mi deb belgilasak, i- razryadda shakllanuvchi o‘tkazish Mi+1 bo‘ladi. 1 birlik bilan i-razryad sonini qo‘shish qonuniyati 11.8-jadval
ko‘rinishida berilishi mumkin. Hisoblagichning birinchi
razryadining kirish qismiga 1 birlik kelishini Mi o‘tkazish
ko‘rinishida tasvirlash mumkin. 11.8-jadvaldan Gi  bi Mi  bi Mi
qiymat Mi o‘tkazish i-razryadning hisoblash kirishiga berilganida, bi saqlagan qiymatda o‘rinli bo‘ladi. (i+1)-razryadni o‘tkazish

Mi1 bi Mi
tenglama orqali aniqlanadi.

Ko‘rib o‘tilgan hisoblagich ketma-ket ulangan T-triggerlarda qurilgan. Hisoblagichning har bir keyingi razryadi avvalgi razryad chiqish qismida shakllangan signal bilan bog‘liq bo‘ladi. -ignallarni hisoblash uchun, triggerning eng kichik razryadi kirish qismiga beriladi. Bunday tuzilgan hisoblagichni ketma-ket u-atuvchi hisoblagich deb ataladi. 11.22, b-rasmdagi vaqt diagrammalaridan ko‘rinadiki, n-razryadli hisoblagichda yangi ntM holati kechikish
bilan shakllanadi, bu yerda tM — triggerning bir holatidan ikkinchi
holatiga o‘tish vaqti.
11.24-rasmda Ci va Mi+1 ifodani amalga oshiruvchi hisob- lagichning sxemasi keltirilgan. J va K kirish qismlari o‘zaro ulangan va birgalikdagi kirish qismiga o‘tkazish beriladi. Hisoblash lozim bo‘lgan signallar triggerlarning sinxronlovchi kirish qismiga beriladi. O‘tkazishni shakllantirish uchun "VA"-sxemasi qo‘lla- nilgan.
Hisoblagichning "0 ga qo‘yish" zanjiriga signal berilib, 0 holatiga keltirish mumkin. Har bir kirish signali bilan hisoblagichdagi raqam qiymati bir birlikka ortadi. Hisoblagichda 0 holat (boshlang‘ich) 23 signal kelishi bilan sodir bo‘ladi. Ko‘rilayotgan hisoblagichda o‘tkazish ketma-ket o‘tkazishdir. Hisoblagichda razryadlar soni ortishi bilan, tutilib o‘tish vaqti ortadi. Bunday tutilib o‘tish vaqti
170

kirish qismiga berilayotgan signalning maksimal chastotasini chegaralaydi va shuning natijasida hisoblagichning tezkorligini ham chegaralaydi. Tutilib o‘tish vaqtini kamaytirish uchun parallel o‘tkazish hisoblagichi qo‘llanilishi mumkin (11.25, a-rasm).
Hisoblagichni shartli belgisi 11.25,b-rasmda keltirilgan. Ushbu sxema uchun mantiqiy o‘tkazish ifodasi quyidagicha bo‘ladi:
M1  1; M 2 b1 ; M3 b1b 2 ; ... ... ... ; Mn b1b 2 bn1 .
Bu yerda tutilib qolish faqatgina "VA" sxemaga bog‘liq bo‘lmaydi. Ta'kidlash joizki, bunday uslubda hisoblagich sxemasi ko‘p kirish qismiga ega bo‘ladi, "VA" sxemalarning qo‘llanilishidan murakkablashadi. Bundan tashqari, hisoblagich sxemasiga razryaddan razryadga ortishida "VA" sxema qo‘llanilishi bilan uning struktura sxemasidagi bir turlilik o‘zgaradi. -huning uchun ko‘p razryadli hisoblagichlarni qurishda parallel-ketma-ket o‘tkazuvchanlikli sxemalar qo‘llaniladi.

J TT

J TT

J

C

C

C

K

K

K

R

R

R

11.24-rasm.

a) b)
11.25-rasm.


171
Parallel-ketma-ket o‘tkazuvchanlikli hisoblagich sxemasi triggerlar guruhidan iborat bo‘lib, har birining ichida parallel, guruhlararo esa ketma-ket o‘tkazuvchanlikni tashkil etadi. 11.26- rasmda parallel o‘tkazuvchanli hisoblagichlardan tuzilgan.
Bunday hisoblagichning har bir kirish qismiga beshta kirishli "VA" elementi ulangan. Avvalgi guruh triggerlari 1 birliklar bilan to‘lganida, unda keyingi guruhga o‘tkazish signali shakllanadi. Ko‘p razryadli hisoblagichda kechikish hisoblagich guruh soniga proporsional bo‘ladi.



„0 ga o‘tkazish“
11.26-rasm.


11.3.k. Ayiruvchi va reverxiv ikkilik hixoblagichi
Ayiruvchi hisoblagichlarda navbatdagi hisoblash signali kelishi bilan, avvalgi natija 1 birlikka kamayadi. Ayiruvchi ikkilamchi n- razryadli hisoblagichda, 2n-1 dan boshlab 0 gacha raqamni ketma- ket hisoblash amalga oshiriladi. Bu ketma-ketlikda navbatdagi raqam avvalgi raqamdan 1 birlikni ayirish bilan hosil bo‘ladi. Qiymat 0 hosil bo‘lganidan so‘ng, ketma-ketlik takrorlanadi. Ayiruvchi hisoblagich holatini o‘zgarish tartibi 11.9-jadvaldagidek yozilishi mumkin. Jadvaldan ko‘ri-nadiki, jamlovchidan ayiruvchi hisoblagichning yana bir farqi: har bir navbatdagi trigger signal kelganida jamlovchi hisoblagichda teskari signal o‘tkazilishi bilan boshqa holatga o‘tadi.
-huning uchun ham ayiruvchi hisoblagichlarda, jamlovchidan farqli o‘laroq, har bir navbatdagi triggerlarning kirish qismi bilan avvalgisining inversli chiqish qismi ulanadi. 11.27-rasmda ketma- ket o‘tkazuvchi ayiruvchi hisoblagichning sxemasi keltirilgan.
Ayiruvchi hisoblagich i-razryadining ishlash tamoyilini, mantiqiy o‘tkazish Mi+1 va ayirma C1 bilan 11.9-jadvaldagidek yozish mumkin.
Jadval ikkilik raqamidan bir birlikni ayirish xususiyati analizi
172


„0 ga o‘tkazish“
11.27-rasm.
11.9-jadval




Kombinasiya tartibi

C0



Triggerlar holati

Qt
3

Qt
2

Qt
1

Qt 1 3

Qt 1 2

Qt 1 1

1

1

1

1

1

1

1

0

2

1

1

1

0

1

0

1

3

1

1

0

1

1

0

0

4

1

1

0

0

0

1

1

5

1

0

1

1

0

1

0

6

1

0

1

0

0

0

1

7

1

0

0

1

0

0

0

8

1

0

0

0

1

1

1

asosida hosil bo‘ladi. 11.10-jadvaldan quyidagi mantiqiy ifodani yozish mumkin:


Gi  bi Mi  bi Mi ,


Mi1 bi Mi .

11.10-jadval






bi

Mi

Ci

Mi+1

0

0

0

0

0

1

1

1

1

0

1

0

1

1

0

1

173

Ayirma Ci yig‘indi hisoblagichdagidek ifodadan aniqlanadi, shuning uchun T- triggerning hisoblash kirish qismiga o‘tkazish uzatilishi kerak. Jamlovchi hisoblagichdan farqli o‘laroq, ifodada
Mi+1 uchun bi o‘rniga bi ishlatiladi. Demak, o‘tkazishni shakl-
lantiruvchi "VA" elementlarga triggerlarning inversli chiqish qis- midan signal uzatiladi. Ayiruvchi ikkilik hisoblagichlarning ishlashini tezlashtirish maqsadida, parallel va parallel-ketma-ket uzatuvchilik sxemalar qo‘llanilishi mumkin.
Reversiv hisoblagichda jamlovchi va ayiruvchi hisoblagichlar sxemalari umumlashtiriladi. Bundan tashqari, hisoblagich yo‘nalishini boshqarish imkoniyati mavjud, buning uchun qo‘- shimcha K-U ko‘zda tutiladi. T- triggerli reversiv hisoblagichda (11.28,a-rasm) hisoblash signallari T- triggerning kirish qismiga, agarda ular birlik signali orqali ochilgan bo‘lsa, mantiqiy element orqali keladi. Hisoblash signallari uchun ikkita kirish ko‘zda tutilgan. Agarda hisoblagich jamlovchi bo‘lib yig‘ilsa, hisoblash signallarini kirish qismiga +1 uzatiladi. Ayirish hisoblagichi uchun esa kirish qismiga 1 birlik uzatiladi. Bu chiqish qismida navbatdagi hisoblagichga o‘tish signali shakllanadi. Chiqish qismida hisob- lagich nollar bilan to‘lganida signal paydo bo‘ladi.




+1

CT2

1

Q1










2

Q2










4

Q3










8
>15

Q4




-1




<0









b )
11.28-rasm.
174
Ikki kirishli reversiv hisoblagichning shartli belgisi 11.28-rasmda keltirilgan.
Agarda reversiv hisoblagichning bir signal manbaligini tuzish talib etilsa, jamlovchi +1 yoki ayiruvchi "-" kirish qismlarini ulab- uzish uchun maxsus DRQ ko‘zda tutiladi (11.29-rasm).
-ignalni Cc kirish qismiga berilganida R-- trigger 1 birlik holatida bo‘ladi. Hisoblash C0 signallari reversiv hisoblagichlarning kirishiga keladi, bunda u jamlovchi bo‘lib ishlaydi. -ignalni CB
kirish qismiga berilganida R-- trigger nol holatida bo‘ladi. Hisoblash
signallari C0 kirishdan — 1 kirishga keladi va hisoblagich ayirish rejimida ishlaydi.

G
Cc

Cb


Co
11.29-rasm.



-




1

CT2

-

D1

CT2

2





D1

D2
D3




4




D2
D3

D4

8

D4

C




C

+1

>15

+1

-1
<0

<0

-1
<0


„0 ga o‘tkazish“
11.S0-rasm.
175

11.3.3. Sinxron va axinxron ikkilik hixoblagichlar
Triggerlar holati bir vaqtda hamma triggerlar kirish qismida sinxronlashtiruvchi signal ta'sirida o‘zgaruvchi ikkilik hisob- lagichlari sinxron nomi bilan ataladi. 11.31,a-rasmda esa uning shartli belgisi keltirilgan.
-inxron hisoblagichlar sinxron raqamli tizimlarda qo‘llaniladi. Ketma-ket raqamli qurilma bunday tizimlarda bir-biriga bog‘liq bo‘ladi va umumiy sinxronlashtiruvchi signal orqali boshqariladi. Bunday sharoitda hamma K-Ulardagi hamma triggerlar o‘z holatini sinxronlashtiruvchi signal bo‘yicha bir vaqtda o‘zgartirishi triggerlarni navbatdagi holatini aniqlash uchun xizmat qiladi. Bu yerda qo‘llaniladigan to‘g‘ri o‘tuvchi sxema oddiy ikki kirishli "VA" sxemani qo‘shilishi bilan sodir bo‘ladi. Lekin n-razryadli hisoblagichning o‘ng tomoni T-kirish qismi qiymatini aniqlash uchun, bitta „VA“ sxemasi orqali signal tarqalish vaqtiga mos bo‘lgan vaqtni a-1 ko‘paytiriladi. -inxron hisoblagichlar turli sxemalar, jamlovchi va ayiruvchi hisoblagichlarni (11.24-, 11.25-, 11.28-rasmlarga qarang) ko‘rganimizda foydalanilgan edi.


& & &





+1 T TT T Q1
C C


R R
Q2 T Q3 T Q4 C C

R R



Kirish
„0 ga qo‘yish“
a)
b) 11.S1-rasm.
176

Asinxron hisoblagichlarda triggerlarning sinxronlovchi kirish qismlari qo‘shni triggerlarning kirish qismlari bilan ulanadi.
-huning uchun trigger holati tashqi sinxronlashtiruvchi signal ta'siriga javoban emas, balki qo‘shni trigger holati o‘zgarishiga javoban o‘zgaradi. D-triggerli, dinamik boshqaruvli asinxron hisoblagich sxemasi 11.32,a-rasmda keltirilgan, 11.32,b-rasmda esa uning shartli belgilanishi keltirilgan.
To‘g‘ri dinamik kirishli triggerlarda holatini o‘zgartirish, 0 sathni 1 sathga o‘zgartirish orqali amalga oshiriladi.
Ketma-ket o‘tkazuvchi asinxron hisoblagichlarda har bir navbatdagi triggerlarning kirish qismlari avvalgisining inversli chiqish qismi bilan ulanadi. Hisoblash signallari C0 kirishga keladi. Asinxron hisoblagichlar katta tezlikda hisoblash imkoniyatini ta'minlaydi. Buni quyidagicha tushunish mumkin: hisoblagichning birinchi triggerini ulab-uzgandan so‘ng, unga navbatdagi signalni hamma hisoblagichdan o‘tgan signalni kutmasdanoq berilishi mumkin. Aytib o‘tilganlarni hisobga olib, ta'kidlash mumkinki, jamlovchi, ayiruvchi, reversiv hisoblagichlar asinxron va sinxron
hisoblagichlar tarzida bajarilishlari mumkin.
Q1 Q2 Q3 Q4

D T
C0 C
R
D T D T D T D T C C C C
R R R R



„0 ga o‘tkazish“
a)



C


„0 ga o‘tkazish“ R
CT1 1

23
8



b)



12— A.A. Xoliqov
11.S2-rasm.
177

11.3.4. O‘nlik hixoblagichlar
Amalda hisoblagichlarni ikkilik razryad sonli tarzda tuzishda ixtiyoriy Kc moduli bo‘yicha quyidagi shart bo‘yicha tuzish talab etiladi:
2n  Kc  2n1 .
Bunda hisoblagichda ortiqcha holatlarning bo‘lmasligini ta'minlanadi. Bunday hisoblagichlar tuzilishining xususiyatini o‘nlik hisoblagich misolida ko‘ramiz. Hisoblagichning Kc=10 lisini qurish uchun 4-razryadli ikkilik hisoblagich holatini 16 dan 10 ga kamaytiriladi. O‘nli hisoblagichda hisoblash ketma-ketligi ikkilik-kodlangan o‘nli kod (Q4, Q3, Q2, Q1-8421) ko‘rinishida berilishi mumkin. Bunda har bir o‘nli raqam 4-razryadli ikkilik soni bilan kodlanadi.
Jamlovchi o‘nli hisoblagichda hisoblash ketma-ketligi ikkilik ketma-ketligi 0000 dan 1001 gacha mos keladi, so‘ng esa 0 keladi va ketma-ketlik takrorlanadi. 11.33-rasmda JK-triggerli, mantiqiy kirishli o‘nli hisoblagich sxemasi keltirilgan.
O‘nlik hisoblagich 4-razryadli ikkilik jamlovchi hisoblagich parallel o‘tuvchi, 2- J- triggerni kirish qismiga ulanadi. Hisob- lagichda sakkizta signalni qabul qilgandan so‘ng, uning chiqish Q1Q2Q3Q4 qismlarida 0001 sathlar o‘rnatiladi. Bunda J- trigger 2 ning kirish qismiga nolinchi sathli Q4 ga beriladi. To‘qqizinchi signalning kelishi bilan Q triggerning chiqish qismida 1 birlik sath belgilanadi. O‘ninchi signalning kelishi bilan 1- va 4-triggerlar nolinchi holatga o‘tadi. 2- va 3-triggerlar esa nolinchi holatida qolaveradi. O‘ninchi signal bilan hisoblagich boshlang‘ich holatga

&




Q1

&

TT

Q2

&




Q3

&

J

TT J




J

TT J

C
&

C
&




C
&

C
&

K

K




K

K

kirish



















11.SS-rasm.







178
qaytadi va jarayon takrorlanadi. O‘nli hisoblagichning ishlash funksiyasi 11.10-jadvalda keltirilgan.


Umumiy holda, Kc modul bo‘yicha hisoblagichni qurish uchun, ikkilik hisoblagichga ortiqcha holat bo‘lmasligini ta'minlash maqsadida teskari aloqa kiritiladi.
Hisoblagich boshlang‘ich nol holatidan boshlab, hisoblash signallarini ketma-ketligini qabul qiladi. 5-trigger 0 holatida bo‘ladi.
„VA“ elementi o‘ninchi signal kelganidan boshlab ishga tushadi
(Q4Q3Q2Q1 1010 triggerlarning chiqish qismlaridagi kombina- tsiyalari) va 5-triggerni 1 holatiga o‘tkazadi. O‘n birinchi signal
kelguniga qadar hisoblagichning hamma razryadlari Q5 o‘tkazish
signali orqali nol holiga o‘tkaziladi. Hisoblagichga o‘nta signal kelishi bilan, u avvalgi boshlang‘ich holatiga qaytadi. O‘n birinchi musbat signal 5-triggerni boshlang‘ich 0 holatiga qaytaradi. Hisoblagichning ishidagi xavfli holatli buzilishning oldini olish maqsadida, 5- R-- triggerda „VA“ elementining kirish qismiga ulangan. 5-trigger ulab-uzib, chiqish qismida birlik darajani navbatdagi hisoblash signali kelguniga qadar saqlaydi.

11.10-jadval




Kombi- natsiya nomeri

Kirish


Triggerlar holati

Qt
4

Qt
3

Qt
2

Qt
1

Qt 1 4

Qt 1 3

Qt 1 2

Qt 1 1

1

1

0

0

0

0

0

0

0

1

2

1

0

0

0

1

0

0

1

0

3

1

0

0

1

0

0

0

1

1

4

1

0

0

1

1

0

1

0

0

5

1

0

1

0

0

0

1

0

1

6

1

0

1

0

1

0

1

1

0

7

1

0

1

1

0

0

1

1

1

8

1

0

1

1

1

1

0

0

0

9

1

0

0

0

0

1

0

0

1

10

1

0

0

0

1

0

0

1

0

179

11.S4-rasm.





      1. Chaxtota bo‘luvchilari

Chastota bo‘luvchilarida kirish signallarini davriy ketma-ketligi ularning chiqish qismida N marta kichik bo‘lgan davriy ketma- ketlik signallarini shakllantiradi.



      1. Taqximlagichlar

Bunday qurilmalarda biror kirish qismiga kelayotgan signallar N chiqishlarga shunday taqsimlanadiki, har bir takt navbati bilan N chiqishlarning birida raqami tartib bo‘yicha bittadan signal chiqadi. Taqsimlagichlar raqamli tizimlarning boshqaruvchi qurilmasi bo‘lib, u berilgan dastur bo‘yicha ishlaydi. 11.35-rasmda halqali hisoblagichning sxemasi kelti-rilgan. Boshlang‘ich holda 1-trigger birlik holatida bo‘lib, boshqa triggerlar esa nol holatida bo‘ladi.
Hisoblagichning kirish qismiga kelayotgan signallar, uni bir holatidan ikkinchisiga davri uchga teng bo‘lgan holatda o‘tkazadi.
Mos ravishda, chiqish qismida Q1, Q2 va Q3 navbatma-navbat chiqish signallari paydo bo‘ladi. Har bir berilgan vaqtda chiqish signali faqatgina birorta chiqishdagina mavjud bo‘ladi.
Taqsimlagichlarni ikkilik hisoblagichlardan foydalanib qurish mumkin. Bunday chiqish zanjirini boshqarish va ajratish uchun deshifrator talab etiladi. 11.36-rasmda (F-shakllantirgich) ikkilik

11.S5-rasm.


180

11.S6-rasm.


hisoblagichida deshifratorning foydalanish sxemasi keltirilgan. Ushbu sxemada chiqish signallari davriy (2k davrli) ravishda chiqish Y0, Y1, ..., Y2 k zanjirlarida paydo bo‘ladi. Bunda har bir onda chiqish

2
signali faqatgina birorta Y0, Y1, ..., Y k paydo bo‘ladi.

11.3.7. Jamlovchilar


Algebraik qo‘shish, ayirish, ko‘paytirish va bo‘lish operatsiya- sini bajarish qo‘shish, siljish, invertorlash mitti operatsiyalarini ketma-ket bajarishga asoslanadi. Ushbu operatsiyalarni bajarish uchun o‘z tarkibida registrlari bo‘lgan jamlovchilardan foyda- laniladi. Bunday jamlovchilarni yig‘uvchi jamlovchilar deb ataladi. Yig‘uvchi jamlovchi o‘z tarkibida registrga ega. Mitti operatsiyadan avval yig‘indi saqlanadi, mitti operatsiyadan so‘ng C:=C+Y- yig‘indi bo‘ladi. Kodlarni kiritilishiga qarab, yig‘uvchi jamlovchilar ketma-ket va parallel ta'sirli turlariga bo‘linadi. Ketma-ket ta'sirli (11.37-rasm) jamlovchi bir razryadli jamlovchidan iborat bo‘lib, uning chiqish qismi kirish qismiga D- trigger va siljish registri orqali
(Rg1 va Rg2) qo‘shiluvchilar razryadlari va (Rg3) qabul razryadi yig‘indilari orqali ulanadi. Jamlovchida kodlar soni ketma-ketligida avval kichik razryadi kiritiladi. Birinchi taktli signal bilan jamlovchiga
Rg1 va Rg2 registrlardan X1 va Y1 tashkil etuvchilarning birinchi razryadli raqamlari beriladi. D-triggerning o‘tishidan Mi kirishga 0 signal o‘tishi beriladi. Jamlovchi  da Rg3 registrga keluvchi C1 birinchi razryad yig‘indisi shakllanadi. Keyingi Mi+1 razryadda shakllangan o‘tish triggerning kirish qismiga keladi. Keyingi taktda hamma registrlarda bir razryadga o‘ngga siljish amalga oshiriladi.
181
-xema navbatdagi razryadli X2 va Y2 sonlarni jamlash uchun tayyorlangan bo‘ladi. Jarayon X va Y raqamlar razryadlarini qo‘shish uchun siklik (davriy) takrorlanadi.
Parallel jamlovchidan boshlang‘ich signalni berish uchun, avval C:=0 mitti operatsiya bajariladi. Yig‘uvchi jamlovchi, registrda saqlash va kombinatsiyalash uchun C:=X+Y yordamida yig‘indi hisoblashdan iborat bo‘ladi.
Bir razryadli yig‘uvchi elementda jamlovchi sifatida hisob- lagichli kirish qismiga ega bo‘lgan trigger qo‘llanilishi mumkin. Agar Ti triggerning avvaldan belgilangan 0 holatida hisoblash kirish qismiga Xi va Yi hamda Mi o‘tkazishni ketma-ketlik bir razryadli signallar sifatida berilsa, bu jarayon tugatiladi va trigger bir razryadli yig‘indi qiymatini aniqlovchi holatiga o‘tadi.
Oddiy ikkilik hisoblagichli kirishga ega bo‘lgan triggerli o‘tkazuvchanli sxemasi 11.38-rasmdagidek tuziladi. Ikkilik soni
X=XnXn-1...X1 va Y=YnYn-1...Y1. Ularni qo‘shish uch taktda bajariladi. Birinchi taktda C:=0 mitti operatsiya 0 bajariladi. Ikkinchi taktda jamlovchiga birinchi C:+X yig‘indi kiritiladi. Bunday mos holdagi jamlovchi triggerlari birlik holatiga o‘tadi. Uchinchi taktda triggerni hisoblash kirishiga Y yig‘indi kodi keladi. Agarda triggerning
i-razryadi 1 holatda bo‘lsa, uning kirishiga Yi=1 signali keladi va trigger 0 holatiga o‘tadi. Bunday triggerning nolinchi kirish qismida keyingi razryadga o‘tkazish signali shakllanadi. Agarda triggerning (i+1) keyingi razryadi 1 holatida bo‘lsa, bu triggerni o‘tkazish signali
0 holatiga o‘tkazadi. O‘tkazish tugaganidan so‘ng, triggerlar jamlovchilari C:=X+Y yig‘indi kodiga mos holatiga o‘tkaziladi.


Taktlar
11.S7-rasm.
182

11.S8-rasm.


Yig‘uvchi jamlovchi arifmetik va mantiqiy ko‘p razryadli ikkilik sonlari operatsiyalarini bajarish uchun asosiy registr bo‘lib xizmat qiladi. U, shuningdek, nisbatan murakkab P-Ular axborotni qayta ishlashda, ya'ni arifmetik-mantiqiy qurilmalarda ham qo‘llaniladi.



    1. Xotirada xaqlovchi qurilmalar

11.4.1. Qurilmalar, ularning axoxiy taxniflari, vazifaxi, turlari
Zamonaviy raqamli texnikada, xususan, mitti protsessorlarda, turli xotira qurilmalari qo‘llaniladi. Bir bit axborotni xotirada saqlash uchun xotirada saqlovchi element (-E), masalan, trigger bo‘lishi talab etiladi. Ko‘p razryadli, bir necha bitli sonlarni xotirada saqlash uchun esa xotira bo‘lagi, bir nechta xotirada saqlovchi element (-E) talab etiladi.
Hozirgi vaqtda axborotni manzilli saqlash tamoyili qo‘llaniladi. Har bir xotira bo‘lagida (adresida), bu adres aniq yoki noaniq ko‘rinishida xotiraga har bir murojaatda ko‘rsatilishi lozim. Adresli xotira qurilmasidan tashqari assotsiativ xotira qurilmalari ham cheklangan holda qo‘llaniladi.
Xotira qurilmalarini klassifikatsiyalashda quyidagilarni ajratish mumkin: oraliq ma'lumotlarni o‘ta tezkor xotirada saqlash; katta hajmdagi ma'lumotlar va dasturlarni tashqi xotira qurilmasida saqlash. Tashqi xotirani tashkil etuvchi ushbu xotira qurilmalari, odatda, alohida periferiyali qurilma sifatida MP-tizimiga (interfeys) orqali ulangan. Bundan tashqari, katta sonli buferli xotira qurilmalari qurilmalar bilan axborotni o‘zaro almashtirish jarayonida vaqtiy parametrlarni moslashtirish uchun qo‘llaniladi.
183
O‘ta tezkor va tezkor xotiralarning tezligiga va buferli xotira qurilmalariga yuqori darajali talablar qo‘yiladi. Hozirgi vaqtda ular yarim o‘tkazgichli bipolyar va MOM-tranzistorlarda integral mittisxemalarda bajariladi. Tashqi xotira qurilmalarining tezkorligiga talab pastroq, lekin ular katta sig‘imga va axborotni birlik saqlashda past tannarxi bo‘lishi talab etiladi. Bunday xotira qurilmalarini tayyor-lashda keng ko‘lamda turli tipdagi magnitli xotira elementlari qo‘llaniladi. Ular asosida yig‘uvchilar shakllanadi, ular axborot massivlarini saqlashga imkoniyat yaratadi. Eng ko‘p tarqalganlaridan magnit diskli (MD) va magnit lentali (ML) yig‘uvchilardir.
Axborotlarni saqlash uslubi bo‘yicha xotira elementlari statik
va dinamik xotira qurilmalariga bo‘linadi. -tatik xotirada bistabil xotira elementi, dinamik xotirada esa maxsus shakllantirilgan zaryad uchun, yarimo‘tkazgich kondensatori strukturasida qo‘l- laniladi.
Axborotga kirish bo‘yicha xotira qurilmasi ixtiyoriy kirishli va ketma-ketli kirishlilarga bo‘linadi.
Ixtiyoriy kirishlida alohida xotira elementiga yozib olish yoki o‘qish uchun har qanday talab etilgan konkret sharoit holatida kirish mumkin. Ketma-ket kirishda alohida xotira elementiga faqatgina ular raqami (adreslari) ortuvchi yoki kamayuvchi bo‘lganida murojaat etish nazarda tutiladi. Bunday kirish tashqi xotira uchun xarakterlidir.
Tezkor xotiraga kiruvchi xotira qurilmalari operativ (qisqacha OXQ yoki RAM) va doimiylarga bo‘linadi. Operativ xotira qurilmalari qisqa vaqtlarda axborotlarni saqlab turish uchun, doimiysi esa o‘qish uchun, uzoq vaqt saqlanishi uchun bag‘ish- langan bo‘ladi. Agar operativ xotira (OXQ)da ta'minlovchi kuchlanish o‘chib qolganida axborot yo‘qolib qolsa, doimiy xotira qurilmasida axborot yo‘qolmaydi va saqlanadi.
Doimiy xotira qurilmalari bir necha guruhlarga bo‘linadi. Xotira qurilmasining bir qismiga axborot ularni tayyorlanishida yoziladi. Buning uchun individual maskalar, yarim o‘tkazuvchi kristallarda, elementlarni ulanish ketma-ketligi berilgan holda bo‘lganligidan, ularni maskali xotira qurilmasi deb ham atashadi. Qisqacha, ularni DMQ yoki ROM deb belgilanadi. Boshqa guruh doimiy xotira qurilmalari axborotlarni bir marotabali (dasturlash) yozishni ta'minlaydi. Buning uchun har bir xotira elementiga eruvchi ulab-uzgich kiritiladi, u dasturlashda kerak joylarni
184
o‘zgartirishga imkon beradi. Qisqacha, ularni dasturlanuvchi doimiy xotira qurilmasi DDXQ yoki PROM deb belgilanadi. Oxirgisi doimiy xotira mavjud bo‘lib, takroran dasturlash (qayta dasturlash) imkoniyatiga ega. Xotira qurilmalari texnologik tayyorlanishi va mittisxemaning sxemotexnik xususiyatlari bo‘yicha ham tasniflanadi. Bipolyar tranzistorlar asosida 3CЛ, TTЛ, N2Л, MOM tranzistorlarida esa, P-MOM, n-MOM, KMOM va ularning turlari qo‘llaniladi. Chiqish zanjirlari, ko‘pincha, uch holatli yoki ochiq kollektorli bo‘ladi.
Xotirani loyihalashda xotira qurilmasi mittisxemalari quyidagi asosiy tasniflari bo‘yicha tanlanadi:
xotira qurilmasi tipi va struktura xususiyatlari (axborotni saqlash xarakteri, kirish ko‘rinishi, tashqi muhit bilan elementlarni moslashtirish xususiyatlari);
xotira qurilmasining umumiy sig‘imi bitlarda yoki kbitlarda (1 kbit=1024 bit);
vaqtiy parametrlari, murojaat vaqti, xotira qurilmasining keyingi murojaati uchun tayyorlash;
xotira qurilmasi ish rejimini boshqarish;
elektr parametrlari, kuchlanishi, toklar turli rejimlarda, quvvat sarfi (gohida nisbiy quvvati 1 bit axborotni saqlash uchun);
texnologik va konstruktiv bajarilishi, korpus tipi, chiqish soni va belgilanishi va h.k.
Xotira qurilmasining iqtisodiy ko‘rsatkichini baholash uchun gohida nisbiy tannarxdan foydalaniladi (1 bit axborotni saqlash uchun sarf-xarajat), puxtalik parametrlari ham berilishi mumkin (mittisxemalarni o‘rtacha ishga layoqatliligi va ishdan chiqmay ishlashi).

11.4.k. Katta xig‘imli tipik tezkor xotira qurilmaxining axoxiy parametrlari va ularni qurixhdagi xuxuxiyatlari


Mitti protsessorli tizimlarning tezkor xotirasini qurishda katta sig‘imli mittisxemali xotira qurilmasi (XQ) (10 lab Kbit) qo‘llaniladi. Bunda axborotni saqlashning dinamik uslubi keng qo‘llanilib, nisbatan oddiy xotira elementi ishlatiladi.
Chiqish qismi chegaralanganligi uchun axborot adresini uzatish amalda bo‘laklanib (odatda, avval qator adresi, so‘ng ustun adresi) uzatiladi.
11.39-rasmda dinamik operativ xotira qurilmasi (OXQ)
185

11.S9-rasm.


mittisxemasining tipik struktura sxemasi keltirilgan. Bunda MN bir razryadli sonni xotirada saqlash uchun mo‘ljallangan.


-onlar adreslari (m+n)-razryadli kod bilan berilib, bir qismi satrni, boshqasi esa yig‘uvchi ustunlarni adreslaydi. -atrlar va ustunlar adreslari mittisxemaning bir xildagi chiqish qismlarida ikki qabulda uzatiladi. Ish rejimlari CA-,RA-,WR/RD signallar kombinasiyasi bilan qurilmaga beriladi. Ulardan birinchi ikkitasi yozish, qo‘shish va regeneratsiyalash uchun mitti sxemaga murojaat qilishni aniqlaydi.
-hina (A)ga m razryadli kodni satr bo‘yicha registr Rr A adresida signal RA- (mantiqiy nol) ruxsat etilgan qiymati bo‘yicha belgilanadi. Bunda (DshX) deshifrator yordamida biror M satrni tanlab yig‘ish ta'minlanadi. Ruxsat etilgan CA- (mantiqiy nol) signal qiymati belgilanadi, etarlicha qisqa vaqtda satr regene- ratsiyalanadi.
-hunday qilib, adres shinasida satr adresi ketma-ketligini shakllantirib va RA-=0 signali yordamida ushbu adresni xotira kurilmasiga uzatib, M taktda to‘liq regenerasiyani ta'minlash mumkin. Bu vaqt 2 ms dan ortmasligi lozim. Xotira elementiga murojaat qilish uchun, axborotni yozish yoki o‘qish maqsadida,
186
satrni adreslanganidan so‘ng A shinada n-razryadli ustun adresini shakllantirish kerak. Ushbu kod CA-=0 signali bo‘yicha (DshU) deshifrator ustuni yordamida N ikki yo‘nalishli kuchaytirgichdan birini tanlashni ta'minlaydi. Bunda ish rejimi (yozish yoki o‘qish) WR/RD signal qiymati bilan aniqlanadi, u CA-=0 qiymat shakllanish momentiga mos keladi. Agarda WR/RD=1 bo‘lsa, axborotni xotira elementining chiqish buferi kuchaytirgichidan D0 chiqishida o‘qiladi. WR/RD=0 bo‘lsa, DI kirish qismida mavjudligidan axborot yoziladi.
11.11-jadvalda katta sig‘imli mittisxema tezkor xotira qurilmasi (OXQ)ning ba'zi birlarining asosiy parametrlari keltirilgan. (OXQni PY harflari bilan belgilash qabul qilingan)
11.11-jadval



OXQ mitti- sxemasining belgisi

-ig‘imi Kbit

Tashkilot



-ikl vaqti

Nisbiy sarf quv- vati (mW/bit)

Texno- logiyasi

K500PY415

1

1 ×1

25

0.8

3CЛ

K541PY3

16

16 ×1

150

0.03

N2Л

K523PY9A

16

2 ×8

400

0.005

MOM

K565PY5@

64

64 ×1

230

0.0003/0.003*

n-MOM

* Nisbiy sarf quvvati (suratidagisi saqlash rejimi uchun, maxrajidagisi esa murojaat rejimi uchun).


11.4.3. Qayta daxturlovchi xotira qurilmaxini (DDXQ) qayta daxturlaxh voxitaxi va uxlublari


Kam seriyali sharoitda tayyorlanadigan DDXQlar (mittisxemalarda PT harflari bilan belgilanadi) hisoblash texnikasida keng qo‘llaniladi. Ularni dasturlashni foydalanuvchilar tomonidan amalga oshiriladi. Ular DXQga nisbatan murakkab strukturaga ega. Chunki, birinchidan, eruvchi har bir XEda ulab-uzgich kiritilganligi, ikkinchidan, qo‘shimcha elementlarining mavjudligidir. Maxsus dasturiy ta'minotni dasturlash hisobiga qo‘shilgan sarf-xarajat bo‘ladi. Korpusdan chiqish qismlari sonini kamaytirish maqsadida, dasturlash uchun axborotni DDXQdan o‘qish uchun xizmat qiluvchi qismidan foydalaniladi. Ushbu chiqish qismlariga mitti-
sxemaning ichidan dasturlash elementlari ulanadi.
187
11.40-rasmda dasturlash elementi (Pr) ni DDXQ tarkibidagi biror varianti struktura sxemasi tasvirlangan. Tashqi dasturlovchi DO mittisxemaning DDXQ chiqish qismiga ulangan va u kerakli kenglikdagi impuls berishni ta'minlaydi.

DO1 DOn


11.40-rasm.




Adres A shinasi dasturlashda berilgan xotira elementini tanlash uchun qo‘llaniladi. Keltirilgan struktura sxemasining GS ikkita boshqaruv signali ko‘rsatilgan.
11.12-jadvalda ba'zi bir keng qo‘llaniladigan DDXQ mittisxemalari keltirilgan.
11.12-jadval



DDXQ
mittisxemasini- ng belgilanishi

-ig‘imi Kbit

Tashki- lot

Adres tanlov vaqti

Nisbiy sarf quvvati (mW/bit)

Texno- logiyasi

K1500PT416

1024

256×4

20

0.65

3CЛ

K541PT1

1024

256×4

80

0.26

N2Л

K556PT18

16384

2048×8

60

0.06

TTЛШ

K556PT16

65636

8192×8

85

0.015

TTЛШ

Ularda asosiy vaqtiy parametri tanlov vaqti bo‘lib, A shinaga navbatdagi adresni berilish momentidan DO chiqishida adreslangan yacheykadagi sonni hisoblash uchun ketgan vaqtdir.


188
Xotira elementining struktura sxemasi murakkabligi va qayta dasturlash elementlarining kiritilishi sababli DDXQ tannarxi boshqa DXQlarga nisbatan ortadi. -huning uchun ular qayta dasturlash lozim bo‘lgan joylarda birinchi navbatda qo‘llaniladi. Hamma DDXQlarda axborotni kiritish foydalanuvchi tomonidan 20—30 V amplitudali impuls va kengligi birdan o‘nlarcha millisekundli impulslardan foydalaniladi. DDXQda axborotni o‘chirish turlaridan biri elektr yordamida o‘chirishdir. Bunda nafaqat umuman o‘chirish va tanlab o‘chirish, balki keyinchalik baytli yozishni tashkil etish ham mumkin bo‘ladi. O‘chirishning ikkinchi turi axborotni ultrabinafsha nurlar yordamida mittisxemani bir necha minut nurlatib o‘chirishdir. Bunda axborot mittisxemada to‘la o‘chiriladi. Ko‘pchilik doimiy XQlarda mitti- sxemani qayta dasturlash ko‘p razryadli sonlarni saqlab qolishni ta'minlaydi.
11.13-jadvalda ba'zi bir keng qo‘llaniladigan DDXQ
mittisxemalarining asosiy parametrlari keltirilgan.
11.1S-jadval


DDXQ
mittisxema- sining belgilanishi

-ig‘i- mi Kbit



Tash- kilot

Adres tanlov vaqti

Axborot- ni saq- lash vaqti (soat)

Qayta dastur- lash sikl soni

Nisbiy sarf quvvati mW/bit

KP558PP2A

16

2K ×8

350

5000

104

0.03

K523PP2

16

2K ×8

350

15000

104

0.02/0.04*

K573PФ2

16

2K ×8

450

25000

100

0.012/0.035*

K573PФA

64

8K ×8

300

5 йил

25

0.004/0.013*

* Nisbiy sarf quvvati (suratida saqlash rejimi, maxrajida o‘qish rejimi).



    1. Mikroprotxexxorli qurilmalar

11.5.1. Mikroprotxexxorlar va mikroprotxexxorli komplektlar
Mikroprotsessor (MP) protsessor kabi hisoblash mashi- nalarining murakkab universal dasturiy boshqariluvchi qurilmasidir. Fizik jihatdan MP yuqori integratsiya darajali mittisxemalar ko‘rinishida tayyorlanib, puxtaligi katta, o‘lchamlari kichik, narxi nisbatan arzon bo‘ladi. Universal buyruq majmuasi mavjudligi MP strukturasini o‘zgartirmay turib, xalq xo‘jaligining turli sohalarida keng qo‘llanilishini ta'minlaydi.
189
Mikroprotsessorlarning yaratilishi hisoblash texnikasida yangi davrni ochdi va axborot vositalarini qayta ishlov berishga olib keldi. Birinchi mikroprotsessor 1971-yilda amerikaning Intel firmasi hodimi M.Xoff tomonidan yaratildi. Integral mittisxemaning yig‘imini yaratish o‘rniga M.Xoff universal EHM ni va bitta murakkab integral mantiqiy sxemani taklif etdi.
Birinchi mikroprotsessorlarning ishlab chiqilishi hisoblash texnikasidagi loyiha texnologiyasini nisbatan o‘zgartirishga olib keldi. Endi har bir yangi texnika uchun, o‘zining yangi integral mittisxemasini ishlab chiqarish shart bo‘lmay qoldi. Buning o‘rniga, talab etiladigan funksiya uchun dasturiy ta'minot ishlab chiqish kerak bo‘ladi. Birinchi mikroprotsessorlar 4-razryadli ikkilik sonlar uchun yaratildi. Integratsiya darajasi ortishi bilan 8, 16- razryadli va hozirgi vaqtda 32- razryadli MPlar chiqarila boshlandi. Razryad soni ortishi bilan xotiraga adreslanadigan sig‘imi ham ortadi. O‘zining imkoniyatlari bilan zamonaviy MP o‘rta EHM va miniEHM prosessorlariga yaqinlashadi.
Mikroprotsessorlarning qo‘llanilishi, universal hisoblash ma- shinalarining strukturasini bir qancha soddalashtirishga olib keladi. Bunda, u mittikompyuter deb ataladi.
Mittikompyuter modullar (bloklar) majmuasidan iborat bo‘lib, tizimli shinaga ulangan katta integral sxema (KI-) ko‘rinishida bajariladi.
Tizimli xhinalar deb, undan signallarni uzatuvchi elektr o‘tkazgichlar majmuasi tushuniladi. Ular funksional vazifalari bo‘yicha guruhlanadi.
Mikroprotsessorda axborotga ishlov berish, oqimni boshqarish, buyruqlarni interpretatsiya qilish, shuningdek, shina ishini bosh- qarish MP yordamida amalga oshiriladi. Axborotni saqlash funksiyasini xotira qurilmasi bajaradi. Unga doimiy va tezkor xotira kiradi. Tashqi qurilmalar bilan aloqani kiritish va chiqarish
„PORT“ deb ataluvchi modul amalga oshiradi. Port MP bilan qandaydir tashqi qurilma uchun oraliq interfeys bo‘lib, katta hajmdagi axborotni tashqi xotirada saqlash va aloqa liniyasi orqali chop etish qurilmasi, klaviatura bilan va h.k. bog‘langan. Interfeys
— bu tashqi qurilmalar bilan mikrokompyuterni o‘zaro bog‘lovchi qurilmadir. Tashkiliy shinali mikrokompyuterning strukturali sxemasi 11.41-rasmda keltirilgan.
Modullarning o‘zaro bog‘lanishi adres shinasiga berilganlar va boshqaruv yordamida amalga oshiriladi. Bunday sxema
190


Adres shinasi
MP Berilganlar shinasi
Boshqarish shinasi

Portlar



Xotira qurilmasi kiritsh qurilmasi Chiqarish qurilmasi
11.41-rasm.


ko‘pchilik zamonaviy mikrokompyuterlar uchun xos, hamma tipdagi mashina operasiyalarini yozish imkoniyatini beradi.
Berilganlarni MPdan xotiraga yozish; xotiradan berilganlarni mikroprotsessor yordamida o‘qish; MPdan berilganlarni berilganlar kirishi qurilmasiga yozish; berilgan chiqishdan berilganlarni mikroprotsessor yordamida o‘qish; MPdagi uzilishlarni qayta ishlash; xotiraga to‘g‘ridan to‘g‘ri MP nazoratiga kirish; MP ichki registrlari ishi. Har qanday mikrokompyuter ishini yuqorida bayon etilgan operatsiyalar yordamida tasavvur etish mumkin.
Mikrokompyuterlarni tuzilish tamoyillarini quyidagicha ta'kid- lash mumkin:
Modulli taxhkil etixh tamoyilida: mikrokompyuter modullar yig‘indisidan quriladi. Modul konstruktiv, funksional, elektr jihatidan tugallangan hisoblash qurilmasidir. U mustaqil yoki boshqa modullar bilan ushbu sinf masalasini yechish imkoniyatiga ega.
Axborot almaxhixhning magixtral tamoyilida: modullar orasidagi ularni kirish va chiqishlarini bog‘lovchi aloqa doimiy tashkil etiladi. Quyidagi magistral shinalarga ajratiladi: adreslar, berilganlar, boshqaruvchi. Magistral almashuvning qo‘llanilishi interfeyslarni standartlashni ta'minlab, modullar bilan aloqa sonini minimal- lashtiradi.
Mittidaxturlaxh boxhqaruvi tamoyili: dasturiy boshqaruvni ko‘p sathli tashkil etishdan kelib chiqadi. MPning har bir buyrug‘i, mittidastur deb nomlangan mittibuyruqlar ketma-ketligi ko‘ri- nishida bo‘ladi. Mittidasturlar xotirada saqlanishi mumkin. Mittidasturli boshqaruv tamoyili mikroprotsessorli modullarning ko‘p funksiyaliligini tashkil etib, qurilma puxtaligini oshiradi.
191
Bunday tipik MPning struktura sxemasi 11.42-rasmda keltirilgan. Konkret MPlar bir-birlaridan farqlanadi, lekin har biri quyidagi asosiy bo‘laklar va qurilmalariga ega: arifmetik-mantiqiy qurilma (AMQ); boshqarish qurilmasi (BQ); registrlar (Rg);
interfeys.
Arifmetik-mantiqiy qurilmada (AMQ) bir necha oddiy operatsiyalar bajariladi: qo‘shish, ayirish, uzatish, mantiqiy „VA“, mantiqiy „YOKI“, 2 modul bo‘yicha qo‘shish, siljitish. AMQ belgisi hamda MP holati registr holati (RgC) ning har bir momentida belgilanadi. Registr tarkibi (flag) dastur ichida o‘tishni ta'minlash uchun qo‘llaniladi. Biror so‘zni saqlash uchun, yig‘uvchi registr akkumulator (A) deb ataluvchi oraliq natijadan foydalaniladi. UUda komanda hisoblagich (-k) xotira qurilmasi (XQ) dasturda navbatdagi buyruq adresini aniqlash uchun qo‘llanadi.
XQdan buyruq buyruq registri (RgK) ga keladi. Hisoblash jarayonini boshqarish uchun UUda buyruqdan foydalaniladi. RK da bo‘lgan BQ operasiya kodi, MP ishining ichki signalini shakllantirish uchun mo‘ljallangan. Buyruqning adres qismi adres uchun qo‘llaniladi. Adresni shakllantirish uchun xotira qurilmasi XQ da, indeksli registr IA lar ajratiladi.
Maxsus registrlar (RON), MPning ichki xotirasini tashkil etib, registr bo‘lagi ko‘rinishida bo‘ladi. Registr bo‘lagini MP ning ichki bo‘lagi bilan shina orqali bog‘lanadi. Maxsus registrlar
Ichki shina

Rgk


Rgk

-k

IRg

RgC

A

POJ

U-

-tek

AB

MB
BQ AMQ



Ichki signallar
BQ B-h B-h B-h
11.42-rasm.
192

(RON) ishlov beriluvchi axborotlarni saqlash uchun ham qo‘llaniladi. Bunda ularni o‘ta tezkor xotira deb qarash mumkin. Tashqi port qurilmasi va xotira qurilmasini MPga standartli ulash uchun interfeys xizmat qiladi. Uning tarkibiga adres buferi (AB), ma'lumotlar buferi (MB), shinalar kiradi. Ma'lumotlar shinasi (M-h) MP birlashilishida buyruq va sonlarni uzatish uchun mo‘ljallanadi. Adres shinasi (-hA) tashqi qurilma va xotirani adreslash uchun qo‘llaniladi. Boshqarish shinasi (B-h)dan tashqi qurilmadan MPga va, aksincha, boshqaruvchi
signallar uzatiladi.
11.5.k. Mikroprotxexxorlar haqida umumiy ma'lumotlar, taxnifi
Mikroprotsessorlar ko‘pincha parametrlari va xususiyatlari bilan tavsiflanadi. Mikroprotsessor integral mittisxema sifatida quyidagilar bilan tavsiflanadi: korpus tipi; chiqish qismi soni; taktli signal manbayi mavjudligi; tok manbayi soni va tipi; quvvati; harorat diapazoni; xalaqitlarga qarshi bardoshligi; puxtaligi; yuklamaga chidamliligi; razryadini kengaytirish imko- niyati va h.k.
MPning xarakteristikasiga dasturlashdagi talablar quyidagilar: so‘zlar uzunligi, buyruq yig‘indisi, xotirani adreslash uslubi, MPdagi, registrlar soni, mittidasturlash va berilganlarni qayta ishlash uslublari, tezkorligi, xotira sig‘imini maksimal adreslash, o‘ta tezkor xotira sig‘imi, dasturlash tili va h.k. Keltirilgan tavsiflar bo‘yicha MPni tasniflash mumkin. Biror tasnif variantini ko‘raylik.
Belgilanishi bo‘yicha universal va maxsus mikroprotsessorlarga bo‘linadi.
Univerxal MP buyruq majmuasi universal yig‘indisi bilan tavsiflanadi. Bunda ixtiyoriy berilgan algoritmda axborotni o‘zgartirish mumkin. Bunday MP keng masalalarni yechish uchun qo‘llanishi mumkin.
Maxxux MP aniq sinfga mansub masalani yechishga qaratilgan bo‘lib, biror konkret masalani yechish uchun mo‘ljallanadi. Bunday MP xususiyatlaridan: nisbatan arzonligi, kam quvvatligi, kompaktligi, boshqarishda oddiyligidir. Maxsus MPlar qatorida texnologik jarayonlarni boshqarishda qo‘llaniluvchi mikrokon- trollerlarni, o‘lchash texnikasida, ilmiy tekshirish ishlarida qo‘lla- niluvchilarni aytish mumkin. -huningdek, bu MP yuqori ko‘rsat- kichli, berilganlarni parallel ishlov berib, arifmetik operatsiyalarni



13— A.A. Xoliqov
193

bajarilishi imkoniyati bor. -ignallarni murakkab matematik ishlov berish uchun hamda kollektiv hisoblash modeli asosida maxsus MP quriladi. Bunda real vaqt birligida foydali signalarni shovqindan ajratib olish uchun imkoniyat yaratiladi.
KI- soni bo‘yicha bir kristalli, ko‘p kristalli va seksiyali-ko‘p kristalli MPlarga bo‘linadi.
Bir krixtalli MP bitta KI- yoki O‘KI- ko‘rinishida amalga oshiriladi. Bir kristalli MP aktiv elementlarning kristalldagi soni chegaralanganligi bilan, elementlararo aloqani tarmoqlanishining murakkabligi, ruxsat etilgan chiqish qismlar soni chegaralanganligi bilan farqlanadi.
Ko‘p krixtalli MP mantiqiy strukturasi funksional tugallangan qismga bo‘linadi va har biri KI- ko‘rinishida amalga oshiriladi. KI-ning funksional tugallanganligi, ko‘p kristalli MP aniq funksiyani avtonom holda, minimal aloqada MPni to‘liq sxemasini qurishda ishlay olishidadir.
-eksiyali ko‘p kristalli (razryadli-modulli) MP mikroprotsessor seksiyalarini o‘zaro parallel ulab, ko‘p razryadli mikro- protsessorlarni qurish uchun qo‘llaniladi. Mikroprotsessorli seksiya KI- berilgan bir nechta razryadlarda ishlov berish uchundir.
-eksiyali ko‘p kristalli MP 2...16 bit razryadiga ega.
MP razryadliligi bo‘yicha belgilangan va o‘stiriladigan so‘z razryadiga bo‘linadi. Belgilangan razryadlilardan eng ko‘p tarqalgani 8 va 16 razryadli MP. Oxirgi vaqtda 32 razryadli MPlar qo‘llanimoqda.
Boshqarish bo‘yicha MPlar mittidasturli va qattiq (apparatli) boshqaruvlilarga bo‘linadi. Mittiboshqaruvli razryadini o‘zgar- tirishli mittiprotsessorli seksiyalar uchun xosdir. Bunda ba'zi bir aniq masalalarni hal etish uchun buyruq majmuasini joylab qo‘yish imkoniyati bo‘ladi. Qattiq (apparatli) boshqaruv, odatda, birkristalli va ko‘p kristalli MP larda qo‘llaniladi.
-anoatda uch sinfga mansub KI- lar ishlab chiqarilmoqda:

  1. seksiyali MP, mittidasturli boshqaruvli, razryadini ortti- ruvchi;

  2. bir kristalli MP qat'iy razryadli va qattiq (apparatli) boshqaruvli;

  3. bir kristalli mittiEHM, MP dan tashqari ularda katta sig‘imli bo‘lmagan xotira qurilmali.

194


      1. Axoxiy tipdagi MPlarning arxitekturaviy tuzilixhi

MPning arxitekturasi deganimizda, uning komponentlari tarkibi, ichki axborot almashinuvini tashkil etilishi va tashqi muhit bilan ham, shuningdek, buyruq tizimi yordamidagi funksional imkoniyatlari tushuniladi.
Mitti elektronikaning rivojlanishi bir kristalli mikroprotses- sorlarni (BMP) keng ko‘lamda tarqalishiga olib keldi. Ularda hamma komponentlari bitta KI- ko‘rinishida ishlangan. Bunday MP arxitekturasiga va ularning tavsifiga quyidagi omillar ta'sir etadi:

  1. KI- integratsiyasi darajasiga mos keluvchi BMP;

  2. mittisxemalar chiqish qismlarining chegaralanganligi.

Integratsiya darajasi juda katta bo‘lib, korpusdagi elementlar soni bir necha mingdan, yuzlab ming va millionga yaqin. Chiqish qismlari (oyoqchalari) unchalik ko‘p emas, odatda bir necha o‘nlarga to‘g‘ri keladi. Mikroprotsessor texnikasining rivojlanishi 4 razryadli BMPdan boshlanadi. Bunda sonlarni va kodlarni har doim bo‘laklab ishlov berilar edi. Keyinchalik esa integratsiya darajasi ortib, 8 razryadli BMPni yaratildi, u hozirgi kungacha keng ko‘lamda qo‘llanilmoqda. Keyinchalik, 16 razryadli BMP paydo bo‘ldi, natijada faoliyati ortdi.

      1. 8-razryadli BMP xtrukturaxi va tavxifixi

Eng ko‘p tarqalgan 8 razryadli BMP KP580BM80 (avvalgi belgilanishi KP580NK80), struktura sxemasi 11.43-rasmda keltirilgan. Uning uchun universal BMPning hamma tavsifi o‘rinlidir: AMQning mavjudligi, registrlar yig‘indisi bilan (Rg1, Rg2, Ak, RgF)
boshqaruv qurilmasi, registr komandasi tarkibidagi (RgK); buyruq
deshifratori va mashina sikli shifratori; boshqaruv va sinxronizatsiya sxemasi; uch shinali tizimlar, tashqi muhit bilan bog‘lovchi, shuningdek, ikki yo‘nalishli 8 razryadli shinalar, bir yo‘nalishli 16 razryadli adres shinalari va ikki yo‘nalishli 10 razryadli boshqaruv shinalari. BMPda uzilishni tashkil etuvchi vosita ko‘zda tutilgan bo‘lib, axborotni asinxron almashinuvi, xotiraga to‘g‘ridan-to‘g‘ri murojaat etish mumkin. Berilgan 8 razryadli AMQ mavjud bo‘lib, arifmetik apparatli (qo‘shish, ayrish) va mantiqiy (ko‘paytirish, qo‘shish, inversiya, 2 moduli bo‘yicha qo‘shish, kodlarni taqqoslash) operatsiyalari ikkilik kodida 8 razryadlida amalga oshiriladi. AMQ
195

operatsiyasi natijalari, odatda, yig‘uvchi registr (Ak) akkumulatorda joylashtiriladi. Registrdagi ma'lumot, odatda, biror operatsiyada AMQning ko‘pchilik operasiyalarida foydalaniladi.
11.4S-rasm.

AMQ operatsiyasi natijasini hisoblashda aniq belgilarni shakllanishiga olib keladi, ulardan: natija -U katta razryadini o‘tkazish (agarda o‘tish joyi bo‘lsa, -U=1); Z natijani nol qiymat belgisi (agarda natija nol bo‘lsa, z=1); - natija manfiy (manfiy natijada s=1); baytda natija P juft son (juft son birligida p=1) AC natijasi yarim bayt oralig‘ida qo‘shimcha o‘tkazish (agarda o‘tkazish bo‘lsa , AC=1).


Oxirgi belgisi o‘nli korreksiya sxemasida sonlarni ikkilik-o‘nlik ishlov berishda, axborotlarni kodlashda qo‘llaniladi. Boshqa belgilari shartli o‘tishni dasturlarda tashkil etish uchun MPda bajarilishida
196
qo‘llaniladi. Belgilari (bayroqlar) mos holdagi (RgF) registrda joylashtiriladi va yangi belgi shakllanguniga qadar saqlanadi.
MP tarkibida ko‘p sonli registrlar qo‘llaniladi. Ularning bir qismi bufer elementlari funksiyalarini bajarib, axborotni MP ichida uzatishda vaqtli tavsiflarini moslashtirish uchun va tashqi muhit bilan almashinuvi uchun (Rg1, Rg2, RgK, RgA, bufer registri berilganlar shinalari tarkibida) bajaradi. Bu blokda o‘ta operativ MP xotira funksiyasini bajaruvchi 8 razryadli umumiy belgilangan registrlar (PON) B, C, D, E, H, L. POH (B va C, D) paralariga murojaat etish, 16 razryadli sonlarga ishlov berish imkoniyati mavjud. Registrlar W va Z qandaydir operatsiyani bajarishda bufer sifatida qo‘llaniladi, unga dasturiy ta'minot ko‘zda tutilmaydi. Registr blokida ba'zi bir elementlar maxsus funksiyani bajaradi. Ular ichida
16 razryadli dasturli hisoblagich (PC), xotiradan hisoblab chiqaruvchi navbatdagi buyruq baytini shakllantirish uchun xizmat qiladi.
PC tarkibi  sxema yordamida modifikatsiyalanishida, dastur bajaruvchi buyruqlarning hamma baytlari adreslarini hosil qilishi mumkin. Boshqa maxsuslangan element 16 razryadli registr bo‘lib,
-P stek ko‘rsatuvchisi deyiladi. Uning yordamida MP tizimida stekli xotira tashkil etiladi.

      1. 16 razryadli BMP xtrukturaxi va tavxifi

Mikroelektronikaning rivojlanish bosqichida, KI-ning integratsiya darajasi bir necha o‘n minglab elementga yetganida, 16 razryadli BMPni tashkil etish imkoniyati paydo bo‘ldi. Axborotni tashqi muhit bilan 16 razryadli formatda almashinuvi, 8 razryadliga nisbatan, tabiiyki qo‘shimcha chiqish qismlari yoki shinalarni multipleksorlash hisobiga mumkin bo‘ladi. BMP strukturasini murakkablashtirilishi, ko‘pincha, qo‘shimcha boshqaruvchi zanjirlarni va chiqish qismlarini qo‘llashni talab etadi. 16-razryadli BMPlar uchun ko‘pchilik hollarda operatsiyalar yig‘indisini kengaytirish xosdir.
16 razryadli BMP-K1810BM86, n-BMP texnologiyada yarim- kremniyli zatvorli tranzistorlarda tayyorlangandir. U, taxminan KR580BM80ga nisbatan 6 barobar ko‘p elementlardan iborat.
BMP K1810BM86 (11.44-rasm) struktura sxemasini asosiy xususiyatlarini ko‘raylik. Unda uchta tashkiliy qismini ajratish
197
mumkin: operatsion qurilma, uning yordamida MPda berilganlarni qayta ishlov bajariladi; shina bilan moslashtiruvchi qurilma (MQ-H), uning yordamida adreslash va buyruqni tanlash, adreslash, belgilarni qabul qilish va uzatish; boshqaruvchi va sinxronlashtiruvchi qurilma, komanda kodi asosida MP va MP tizimi bo‘lagi uchun boshqaruv signalini va tashqi signallarni shakllantiradi. OQ tarkibida 16 razryadli AMQ bo‘lib, arifmetik va mantiqiy amallar bajariladi.
Registr F ni kichik baytida ZF natijaning nolinchi qiymati CF o‘tkazish belgisi, -F manfiy qiymat natijasi, PF juftligi va AF natijasining qo‘shimcha yarim bayt o‘tkazishi yoziladi, u MP KR580BM80 ning belgilariga to‘liq mos keladi. F ning katta baytida yana to‘rtta xususiyat belgilanadi: razryad turining OF natija uchun ajratilgan to‘lish belgisi, massivni skanerlash belgisi DF zanjiri bilan operasiyada, uzilish belgisi maskirovkalash yoki uzilishni so‘rov uchun ruxsatni aniqlovchi IF, qadamma-qadam TF ni ishlov berib kuzatish.
OQ da RON bloki qo‘llaniladi. Unda 16 razryadli AX, BX, CX, DX registrlar mavjud. Ularda yarim razryadlar (katta H, kichik L),
8 razryadli sonlar ham qo‘llanilishi mumkin. AX registri akkumulator funksiyasini bajaradi, uning kichik MP KR580BM80 si akkumulatorga mos keladi. BX, CX va DX registrlar, oddiy RON sifatida qo‘llanilishi mumkin. Ba'zan esa ular maxsus funksiyalarni bajarishi mumkin: BX bazaviy adres manbayi bo‘lishi mumkin, CX — hisoblagich, DX — ko‘paytirish va bo‘lish buyruqlarida berilganlar yoki kirish-chiqish buyruqlarida ba'zi bir adres manbai bo‘lishi mumkin. Qolgan to‘rtta 16 razryadli registrlar kodlarni saqlash uchun, buyruqlar va berilganlarni adreslash jarayonida, xotirani segmentli tashkil etishda qo‘llaniladi. Ular, shuningdek, oddiy RON kabi ayrim arifmetik va mantiqiy operatsiyalarni bajarishda qo‘llaniladi.
U--H tarkibida ikkita blok mavjud: buyruqlar (OK) navbati bloki va segmentli registrlar (CRg) -M bilan jamlovchi bloki. OK bloki oltita 8 razryadli registrlardan tashkil topib, navbatdagi buyruq baytlarini saqlashni MPda ta'minlaydi. U stek bo‘lib, quyidagi tamoyilda ishlaydi: birinchi bo‘lib yozilgan raqam birinchi bo‘lib ajratib olinadi (bunday tashkil etishni ba'zan FIFO deb belgilanadi). Navbatdagi ikki bayt buyruqni pastga uzatilganda, qolgan yangi ikki baytni xotiradan avtomatik tanlab pastga surib berishni
198
ta'minlaydi. MPda xotirani adreslash sig‘imi 1 Mbaytni ta'minlaydi. Demak, 20 razryadli adres talab etiladi. Lekin bunday format buyruq strukturasida tasvirlash va xotirada saqlash uchun noqulay. -huning uchun, 16 razryadli kodlarni buyruqlarda keyinchalik shakllantirib, ular asosida 20 razryadli fizik adreslar qo‘llaniladi. Bu segment sig‘imining har bir 256 baytdan 64 kBaytgacha adres kengligiga bo‘linishini ta'minlaydi. -egmentlarning boshlang‘ich adreslarida, 20 razryadli bajaruvchi adreslarda, to‘rtta kichik razryadlarda nolinchi qiymatni nazarda tutadi. Ushbu boshlang‘ich adreslar 16 razryadli kodlarda berilishi mumkin (kichik to‘rtta razryad, nol qiymatlar nazarda tutiladi). -egment registri MP blokida to‘rtta 16 razryadli registr bo‘lib, bir vaqtning o‘zida to‘rtta segmentli dasturdan foydalanish mumkin. C- registri segment dasturlarining boshlang‘ich adresini saqlaydi, D- registri esa segment boshlang‘ich adresini saqlaydi, -- registri stekning boshlang‘ich segment adresini beradi, E- registrida segmentning boshlang‘ich qo‘shimcha adresini berilishi ko‘zda tutilgan. Buyruq kodlarida adreslar 16 razryadli, boshlang‘ich adreslarga nisbatan mos segmentlarga surilgan holda beriladi.
Adresli axborotlarni va berilganlarni uzatish uchun bitta 16
razryadli, ikki yo‘nalishli A/D shina qo‘llaniladi. MPning sinxron rejimda ishlashini tashqi sinxroimpulslar ketma-ketligi CLK kirishiga 200 ns davrli mashina takti berilib ta'minlanadi.
BMP K181BM86 ning asosiy tavsifi: axborot so‘z uzunligi 16 bit; asosiy buyruqlar soni 135 (bir necha yuz modifikatsiyalari bilan); buyruqlarni bajarish minimal vaqti 0,8 mks (takt kengligi T=0,2 mks bo‘lganida); RON soni 12 (16 razryadli); xotira adres sig‘imi 1 Mbayt.
Elektr parametrlari: bitta kuchlanish manbai +5 V, sarf quvvati 1,75 W, hamma signallar uchun standart TTL darajada. Metallkeramikali 40 ta chiqish qismli korpus qo‘llaniladi.
-trukturaviy xususiyatlari: uzlukligini tashkil etish ko‘zda tutilgan, PDP rejimli, axborotlarni asinxron almashinuvli, minimal va maksimal MP tizimli konfiguratsiyali.
K1810 mikroprosessori komplekt tarkibiga BMP K1810BM86 kiruvchi 10 ta mikrosxemadan iborat. MMKKP-580 mikrosxemani ham qo‘llash mumkin.
MP tizimi va mittiEHM MPK 1810BM86 bazasida dasturiy ta'minotda bir nechta diskli operatsion tizim, yuqori darajadagi algoritmik tildan foydalaniladi.
199

Boshqaruv va sinxronlashtiruvchi qurilma

-M

6

C-

5

D-

4

--

3

E-

2

IP

1






AX


AX


-HMQ
AL

BX

BX

BL

CX

CX

CL

DX

DX

DL

11.44-rasm.



      1. Mikroprotxexxorlarning arxitekturaxi, xtrukturaxi

Operatsion qurilmalarning strukturasini ishlab chiqish jarayonida ikki xil yondoshiladi. Birinchisi, amalda ko‘p uchraydigan mikroprotsessorli seksiyalarning qo‘llanilishi nazarda tutiladi (ba'zan, markaziy protsessorli elementlar ham deb ataladi). Bunday har bir seksiyalarda operatsion qurilmaning hamma tarkibiy qismlari (komponentlari) mavjud bo‘lib, ular ikkilik kodini bir necha ikki, to‘rt, sakkiz razryadli ishlov uchun zarurdir. Kerak razryadli kodlarning operatsiyasini bajarish uchun alohida sek- siyalari minimal qo‘shimcha MP elementlaridan foydalanilganida, ko‘pincha, ularni razryad-modulli deyiladi.
Amalda kombinatsion varianti ham uchraydi. Operatsion qurilmaning (AMQ, RON, axborot almashinuvi elementlari) asosiy qismi razryad-modulli strukturali bo‘lib, unga qo‘shimcha
200
mittisxema ulanib, ayrim maxsus operatsiyalarni bajarish uchun mo‘ljallanadi.
Modulli MP boshqaruv qurilmasi mittidasturli avtomat ko‘rinishida quriladi va mittibuyruqlar maxsus xotiradia (DXQ mittibuyruq) saqlanadi. Buyruqni tashkil etishda biror bir mitti- buyruqlar ketma-ketligi bajarilishi tushuniladi va u mittidasturni tashkil etadi. Mittibuyruqning hamma yig‘indisi MP bo‘lagi ishini boshqarish uchun kerak bo‘lib, DXQ mittibuyruqqa yoziladi. KOPga mos ravishda har bir buyruqni ushbu xotiradan tanlab olishni tashkil etadi.
MPK K1804 tarkibida bir necha mittisxema mavjud bo‘lib, ular turli murakkabliklardagi mittidasturlash qurilmasini qurish uchun xizmat qiladi. Mittisxema K1804 BY1 (11.45-rasm) strukturasini ko‘raylik. U mittibuyruq adresini boshqarish seksiyasi deb ataladi va har qanday razryadli, to‘rtga karrali bo‘lgan orttirishni ta'minlaydi. Navbatdagi Y mittibuyruq adresi, multipleksor yordamida to‘rtta manbadan birortasi orqali berishi mumkin: R shinalar 4 razryadli kodi beriladigan adres (P2A) registri bilan; adresni to‘g‘ri kodi berilishi mumkin bo‘lgan D-shina bilan; to‘rtta yacheykadan iborat bo‘lgan stek xotirasi bilan; mittibuyruq (Rg-MK) hisoblagichining registri bilan. Manbani birorta - kodli 2 razryadlisini 11.14-jadvaldan tanlanadi.
Lozim bo‘lganida, „YOKI“ sxemasi yordamida, tanlangan 4 razryadli OR kodi bilan qo‘shimcha ishlov berish mumkin. Bunda, tanlangan adres manbaning har qanday razryadida birlik qiymatlarni shakllantirish imkoniyatini tug‘diradi. Nol adresni shakllantirish uchun „VA“ elementi bufer ventili bilan qo‘llaniladi va u tashqi ZA signali bilan boshqariladi. Ushbu signalning nol qiymatida buferning chiqish qismida nol adresi shakllanadi. OE signali adresning uch holatini chiqish shinasini boshqaradi: ushbu signalning nol qiymati adres berishga ruxsat beradi, birlik qiymatida
esa shinani "Uziq" holicha o‘tkazadi. -hakllangan adres shinaga adres berilishi bilan inkrementor va Rg-MK dan tashkil topgan hisoblagich mittibuyrug‘iga uzatiladi.
Ushbu adres C0=0 da Rg-MK ga yoziladi, C0=1 da yozilishidan avval bir birlikka ortadi. -huning uchun mittibuyruq adresining ketma-ketlik ortishini tashkil etish mumkin. Rg-MK dagini, keyin navbatdagi mittibuyruq adresini shakllantirish uchun tanlab multipleksor yordamida foydalanish mumkin. -tekdan
201
axborot navbatdagi adresni shakllantirish uchun multi pleksorga uzatilishi mumkin. YE da stek axborotni saqlash rejimida bo‘ladi. PUP signalining qiymati bunda farqsiz bo‘ladi. Axborotni nolinchi darajada YE =0da va PUP=1 da amalga oshiriladi.

P

11.45-rasm.


11.14-jadval



-1

-2

F

0

0

Rg-MK

0

1

PgA

1

0

Ctek

1

1

D

202
Bir vaqtning o‘zida axborotni surilishi navbatdagi darajadan keyingisiga va o‘chilishi (surilishi) oxirgi (uchinchidan) darajasidan amalga oshiradi. Axborotni stekdan o‘qish nol darajadan amalga oshiriladi, shuning uchun LIFO steki tashkil etiladi. Mittisxema ishining sinxronizatsiyasi T signali bilan ta'minlanadi.
Ushbu signalning musbat fronti boshqa signallarni aniqlashda axborotni R2A, R2 -MK ga kiritish uchun foydalaniladi va axborotni stekga siljitadi. Mittibuyruq adresining bir seksiyasini boshqarishda 4 razryadli Y adresning shakllanishini ta'minlaydi, ya'ni boshqaruv xotira sig‘imini 16 razryadli yacheykani adreslaydi.
-huning uchun ham amalda doimo bir necha mittisxemani birlashtirish lozim bo‘ladi. C4 chiqishi kichik seksiya C0 kirishning kattaroq seksiyasiga ulanadi. D, R va Y shinalar to‘rtga karrali bo‘lib, ortib boradi. Boshqa signallari bir turli chiqish qismlariga parallel uzatiladi. Uchta seksiyani birlashtirish natijasida, 4K hajmli boshqaruv xotirani adreslashga imkoniyat yaratadi va ko‘pchilik holda yetarli bo‘ladi.

11.5.7. MittiEHMni taxhkil etixh


Model xuxuxiyatlari. Avvaldan berilgan yechish qoidasi (algoritmi) bo‘yicha biror hisoblovchi odam tomonidan bajarilayotgan hisoblash modeli hisoblash jarayonini namoyon etadi.
Modelning quyidagi asosiy prinsiplarini ko‘rsatish mumkin: operatsiyalarni vaqt bo‘yicha bajarilish ketma-ketligi; bir masaladan ikkinchisiga o‘tishda o‘zgarmaydigan mantiqiy hisoblash sxemasi; model elementlarining konstruktiv bir turli emasligi va ular orasidagi aloqalar.
Birinchi uch avlod EHMlarida asosni tashkil etuvchi hisoblash modeli zamonaviy mittiEHM larning ko‘pchiligi uchun ham asos bo‘lib qolgan. 11.46-rasmda mittiEHM ning struktura sxemasi bir hisoblagich modelini bajarilishi uchun keltirilgan.
MittiEHM asosiy funksional bloklarining tarkibiga quyidagilar kiradi: arifmetik-mantiqiy qurilmadan tashkil topgan protsessor va boshqarish qurilmasi, tezkor xotira qurilmasi, kiritish-chiqarish qurilmasi, mittiEHMni turli tashqi qurilmalar bilan bog‘lashni tashkil etuvchi, masalan, displey bilan tashqi xotira va h.k.
MittiEHM doimiy foydalaniladigan dasturlar saqlanuvchi doimiy xotira qurilmasiga ham ega. Ko‘rib o‘tilgan bloklar KI-
203
asosida quriladi. MittiEHMda alohida funksional bloklarni birlashtirish aloqa tizimi yordamida amalga oshiriladi. Aloqa tizimini shinalar yig‘indisi ko‘rinishida tashkil etish keng tarqalgan. Ushbu yig‘indi tarkibiga quyidagilar kiradi: EHM bloklari orasida axborot almashinuvini tashkil etuvchi berilganlar shinasi; mittiEHMning turli qurilmalariga murojaatni tashkil etuvchi va adreslarni uzatuvchi adreslar shinalari va boshqaruv signallarini uzatuvchi boshqaruv shinalari.
protsessor

11.46-rasm.


11.5.8. MittiEHM interfeyxi


Yuqorida bayon etilganidek, mittiEHM alohida modullardan tashkil topgan bo‘lib, nisbatan oddiy va kerak bo‘lgan sonda va vaqtda biriktirilish mumkin. Yangi qurilmaning ulanishida mavjud mashinaga kabel ulanishi va dastur o‘zgarishidan boshqa hech qanday o‘zgarish kiritilmasligi lozim. Buning hammasi qurilma bilan mashinani moslashtirish hisobiga erishiladi. Hisoblash texnikasida bunday moslashtirishni interfeyx deb ataladi.
Interfeys deyilganida, apparatli va dasturiy unifikatsiyali vosita tushunilib, mittiEHM bloklari orasida hamda EHM va tashqi qurilmalar bilan o‘zaro aloqani tashkil etish uchun kerak bo‘ladi.
Interfeys funksional, elektr va konstruktiv parametrlari bilan tavsiflanib, standartlashtiriladi. Interfeysda, odatda, standart-
204
lashtiriladiganlari: uzatiladigan axborot formati, holati va buyruqlari, aloqa liniyasining turlari va tarkibi, signallar parametrlari, ishlash algoritmi, konstruksiyasi.
MittiEHMda quyidagi turdagi interfeyslarni ajratish mumkin (11.47-rasm): tezkor xotira interfeysi (TXI) A, protsessorning interfeysi (Pr)B, kiritish-chiqarish D interfeysi va tashqi qurilma interfeysi (TQI) E.
TXI orqali protsessor va xotira oralig‘ida yoki protsessor bilan kirish-chiqish bloki oralig‘ida axborot almashinuvi amalga oshiriladi. Kirish-chiqish bloklarini kanallar yoki kirish-chiqish protsessorlari deb ataladi. A interfeysda yetakchi qurilma — almashinuvni tashkil etuvchi, proysessor yoki xotiraga to‘g‘ri kiruvchi kanal. Bajaruvchi qurilmalar TXI bloklardir.
Interfeys bu proysessor kirish-chiqish kanallari bo‘lib, u prosessor va kirish-chiqish kanallari orasidagi axborot almashinuvi uchun qo‘llaniladi. Interfeys B da yetakchi qurilma protsessor, boshqaruvchi esa kirish-chiqish kanallaridir.

E


11.47-rasm.
205
Tashqi qurilma boshqaruv bloki yordamida mittiEHMga ulanadi va u tashqi qurilma kontrolleri TQK deb ataladi. Kirish-chiqish kanali bilan TQK orasidagi axborot almashinuvini kirish-chiqish D interfeysi orqali amalga oshiriladi. Bunda boshqaruv kontrolleri tashqi xotira qurilmalari-magnitli diskli va magnit tasmali (MT) hamda operatorning terminal kontrolleri va pulti nazarda tutiladi. Interfeys D da yetakchi kirish-chiqish bloki, bajaruvchi esa tashqi qurilma kontrolleri.
Interfeys E tashqi qurilma bilan, TQK bilan tashqi qurilma mexanizmlari axborot almashinuvi uchun xizmat qiladi. A, B, D inerfeyslar orqali axborotlar parallel so‘zlar (8 yoki 16 razryadli) E interfeyslari orqali tashqi qurilma tipi bilan aniqlanuvchi sonlar uzatiladi.

206


ADABIYOTLAR



  1. A. A. Xoliqov. „Raqamli sxemotexnika“, 1- va 2-qismi, TTYMI, Toshkent, 2007.

  2. A. A. Xaлnиob. „Цифpobaя cxemoтexниka“, TamNNT, Tamkeнт, 2007

  3. A. A. Xaлnиob. „Eлekтpoн |ypилmaлapи, aнaлoFли ba pa|amли cxemaтиka“. Tomkeнт: „Temиp йỹлuи“, 2002.

  4. A. A. Xaлnиob. Koнcпekт лekций пo диcциплинe

„3лekтpoнныe ycтpoйcтba, aнaлoFobaя и цифpobaя cxemaтиka“ 2-|иcm. Tomkeнт. 2002.

  1. A. A. Xaлnиob. „Eлekтpoн |ypилmaлapи, aнaлoFли ba pa|amли cxemaтиka“ фaнидaн maъpyзaлap тỹплamи, 2-|иcm, Tomkeнт. 2002.

  2. A. A. Xaлnиob. Ф.Ф. Yмapob. Paдиoтexниk тизиmлap нaзapияcи acocлapи. Tomkeнт: „Ỹзбekиcтoн“. 2002.

  3. A. A. Xaлnиob. Ф.Ф. Yмapob. „Teopия Элekтpиueckиx цeпeй.“ Yueбнoe пocoбиe. „@илиm“. Tomkeнт. 2004.

  4. NнтeFpaльныe mиkpocxemы. Cпpabouниk пoд peдakциeй @.B. Tapaбpинa. M. „Paдиo и cbязь“, 2000.

207


KALIT SO‘ZLAR RO‘YXATI

Impuls shakllantirgich; mantiqiy element; differensiallovchi zanjirlar; integrallovchi zanjir; potensial mantiqiy element; relaksion generator; kodlovchi qurilma; analog-raqamli o‘zgartgich; raqamli-analogli o‘zgartgich; mikroprotsessor; eslab qoluvchi xotira; dasturlovchi xotira qurilmasi; dasturlovchi mantiqiy matritsa; dasturlovchi matritsali mantiq; raqamli qurilmalar; registrlar; xotira registri; siljish registri; hisoblagichlar; ikkilik hisoblagichlar; ayiruvchi va reversiv ikkilik hisoblagichi; sinxron va asinxron ikkilik hisoblagichlar; qayta dasturlovchi xotira qurilmasi; mikroprotsessorlarning arxitekturaviy tuzilishi; mitti- EHM; mittiEHM interfeysi; jamlagichlar; chastota bo‘luvchilar; o‘nlik hisoblagichlar; taqsimlagichlar; mikroprotsessorli qurilmalar; tezkor xotira qurilmasi; xotirada saqlovchi element; kombinatsion raqamli qurilma; dasturlovchi mantiqiy matritsalar.


208


QISQARTMA SO‘ZLAR RO‘YXATI

DTM — diod-tranzistorli mantiq PME — potensial mantiqiy element


-TG — sinusoidal tebranish generatori MOM — metal-oksid ilanar
MP — mikropotsessor
BMP — bir kristalli mikroprotsessor (KI-) — katta integral sxema
O‘KI- — o‘ta katta integral sxema AMQ — arifmetik-mantiqiy qurilma BQ — boshqarish qurilmasi
Rg — registrlar
(XQ) — xotira qurilmasi
DDXQ — dasturlovchi doimiy xotira qurilmasi OXQ — operativ xotira qurilmasi
-E — xotirada saqlovchi element
(KRQ) — kombinatsion raqamli qurilma DMM — dasturlovchi mantiqiy matritsalar DXQ — dasturlanuvchi xotira qurilmasi
O‘DDXQ — o‘chirish mumkin bo‘lgan dasturlanuvchi xotira qurilmasi
TXQ — tezkor xotira qurilmasi
O‘TOK — o‘zgarmas tok operatsion kuchaytirgichi CHO‘KG — chastota o‘zgartirgich kuchlanish generatori CHO‘K — chiziqli o‘zgaruvchan kuchlanish
CHO‘K — chiziqli o‘zgaruvchan kuchlanish (RAO‘) — raqamli-analogli o‘zgartirgichlar (ARO‘) — analog-raqamli o‘zgartirgichlar NHK — nostabillik harorat koeffitsiyenti
(CHKO‘G) — chiziqli kuchlanish o‘zgartiruvchi generator



14— A.A. Xoliqov
209


MUNDARIJA

So‘zboxhi 3

  1. RC-ZANJIRLAR TASNIFI VA TURLARI

    1. Impulslar, tasnifi, turlari va parametrlari 5

    2. RC-zanjirdan impuls o‘tishi 5

      1. RC-zanjirlarda birlik qadamdagi kuchlanish va tok 5

      2. Differensirlovchi (toraytiruvchi) va ajratuvchi

RC-zanjirlar 11

      1. Impuls ta'siridagi real RC-zanjirlar 16

    1. RC-differensialllovchi zanjirlarda daraja belgilash 17

    2. Integrallovchi RC-zanjirlar 22

k. TO‘G‘RI BURCHAKLI IMPULS SHAKLLANTIRGICH

    1. Ketma-ket va parallel tipdagi diod chegaralovchilari 27

    2. Katta signal rejimida tranzistorning chiziqli modeli 34

    3. Tranzistorli kalitni hisoblash 39

    4. Tranzistorli chegaralovchi kuchaytirgich 45

    5. Tranzistorli kalitlarning dinamik tasniflari 46

  1. MULTIVIBRATORLAR

    1. Multivibratorlar haqida umumiy ma'lumotlar 54

    2. Tranzistorli multivibrator. Ishlash prinsipi,

ossillogrammalari 54

    1. Multivibratorning tebranish davrini hisoblash 58

    2. Multivibrator chiqish kuchlanishi ko‘rinishini yaxshilash

va chastotasini sozlash, termostabillash 60

    1. Tranzistorli birvibrator, ishlash prinsipi, ossillogrammalari 65

  1. POTENSIAL MANTIQIY ELEMENT

    1. Potensial mantiiy elementlar (PME) turlari, tasniflari

va parametrlari 69

    1. Diodli mantiq. Mantiq „VA“ 75

    2. Diod-tranzistorli mantiq (DTM) 77

    3. Tranzistor-tranzistorli mantiq (TTM) 77

    4. MOM va KMOM-strukturalari mantiqiy elementlar 79

210

  1. POTENSIAL MANTIQNI ELEMENTLARGA ASOSLANGAN RELAKSATSION GENERATORLAR

    1. Potensial mantiqiy elementlar asosidagi multivibratorlar 83

    2. Potensial mantiqiy elementlardan tuzilgan vibratorlar 87

  2. KODLOVCHI QURILMA. ANALOG-RAQAMLI (ARO‘) VA RAQAM-ANALOGLI O‘ZGARTGICHLAR (RAO‘)

    1. Vaqt intervallarini kodlash 91

    2. Kuchlanishni kodlash 92

    3. Analog-raqamli o‘zgartirgich (ARO‘). Asosiy tasniflari

va parametrlari 94

      1. ARO‘ parallel komparatorlarda 95

      2. ARO‘ razryadlararo kodlash 96

    1. Raqam-analogli o‘zgartirgich. -trukturasi, asosiy tasniflari

va parametrlari 99

      1. Kuchlanish orqali boshqariluvchi solishtirma sxema 101

      2. Kuchlanish orqali boshqariluvchi R va 2R tipli

zanjir sxemasi 103

    1. Tanlab saqlash qurilmasi 104

  1. CHIZIQLI-O‘ZGARUVCHAN KUCHLANISH GENERATORI (CHO‘KG)

    1. CHO‘KG tuzilishi tamoyillari va umumiy tasnifi 106

    2. Tranzistorli avtotebratgichli CHO‘KG 109

    3. Tranzistorli kutuvchi CHO‘KG 113

    4. O‘zgarmas tok operatsion kuchaytirgichida chiziqli

o‘zgaruvchan o‘tish generatori 117

  1. BLOKING-GENERATORLAR

    1. Bloking-generatorlar haqida umumiy ma'lumotlar 120

    2. Avtotebratgichli bloking-generator 120

    3. Kutuvchi bloking-generator 124

    4. Bloking-generatorni sinxronlash 127

  2. MIKROPROTSESSOR TIZIMLARI VA EHM XOTIRASI

    1. Ixtiyoriy kirishli tezkor xotira qurilmalari 130

    2. -tatik va dinamik xotira qurilmalari 132

    3. Xotira platasini tuzish 135

    4. Dasturlanuvchi xotira qurilmasi 137

211

    1. DASTURLOVCHI MANTIQIY MATRITSALAR, DASTURLOVCHI MATRITSALI MANTIQ, MATRITSALI BAZALI KRISTALLAR

      1. Umumiy tushuncha va ta'riflar 140

      2. Dasturlovchi mantiqiy matritsalar (DMM) 141

        1. DMM sxemotexnikasi 142

        2. DMM yordamida masalani yechishga tayyorlash 144

        3. DMM ni dasturlash 145

        4. DMM sxemasining sodda ko‘rinishi 146

        5. Ulab-uzuvchi funksiyani qavs ko‘rinishida

tasvirlash 147

        1. DMM ni orttirish (kengaytirish) 148

    1. RAQAMLI QURILMALAR

      1. Triggerlar 151

        1. Mantqiy elementlardan tashkil topgan triggerlar 151

        2. To‘g‘ri kirishli asinxron R-- trigger 153

        3. Inversli kirishga ega bo‘lgan R-- asinxron trigger 154

        4. -tatik boshqaruvli asinxron R-- triggerlar 155

        5. Dinamik boshqaruvli asinxron trigger 158

      2. Registrlar 160

        1. Xotira registri 162

        2. -iljish registrlari 163

        3. Registrlarning qo‘llanilishi 165

      3. Hisoblagich, chastota bo‘luvchi va jamlovchilar 166

        1. Jamlovchi ikkilik hisoblagichlar 167

        2. Ayiruvchi va reversiv ikkilik hisoblagichi 172

        3. -inxron va asinxron ikkilik hisoblagichlar 176

        4. O‘nlik hisoblagichlar 178

        5. Chastota bo‘luvchilari 180

        6. Taqsimlagichlar 180

        7. Jamlovchilar 181

      4. Xotirada saqlovchi qurilmalar 183

        1. Qurilmalar, ularning asosiy tasniflari, vazifasi,

turlari 183

        1. Katta sig‘imli tipik tezkor xotira qurilmasining

asosiy parametrlari va ularni qurishdagi xususiyatlari 185

        1. Qayta dasturlovchi xotira qurilmasini (DDXQ)

qayta dasturlash vositasi va uslublari 187
212

    1. Mikroprotsessorli qurilmalar 189

      1. Mikroprotsessorlar va mikroprotsessorli

komplektlar 189

      1. Mikroprotsessorlar haqida umumiy ma'lumotlar,

tasnifi 193

      1. Asosiy tipdagi MPlarning arxitekturaviy tuzilishi 195

      2. 8-razryadli BMP strukturasi va tavsifi 195

      3. 16-razryadli BMP strukturasi va tavsifi 197

      4. Mikroprotsessorlarning arxitekturasi, strukturasi 200

      5. MittiEHMni tashkil etish 203

      6. MittiEHM interfeysi 204

Adabiyotlar 207
Kalit xo‘zlar ro‘yxati 208
Qisqartma so‘zlar ro‘yxati 209

213


XOLIQOV A.A.

RAQAMLI SXEMOTEXNIKA


Kasb-hunar kollejlari uchun o‘quv qo‘llanma


Toshkent — „Yangi nashr“ — 2007


Muharrir O‘. Husanov Badiiy muharrir Sh.Yayo-ov Tex. muharrir T.Greshnikova


Kompyuterda sahifalovchi Sh.Yo‘ldosheva

Original maketdan bosishga ruxsat etildi 5.10.2007. Bichimi 60×90 1/16.
Kegli 11 shponli. Tayms garniturasi. Ofset bosma usulida bosildi.
-hartli b.t. 13,5. Nashr t. 0,0. 0 nusxada bosildi. Buyurtma №

X7k
Xoliqov A.A.
Raqamli xxemotexnika: Kasb-hunar kollejlari uchun o‘quv qo‘llanma/A.A. Xoliqov; O‘zbekiston Respublikasi Oliy va o‘rta maxsus ta‘lim vazirligi, O‘rta maxsus, kasb-hunar ta'limi markazi. -Toshkent: „Yangi nashr“, 2007. 216 b. - @.ц.

BBK 32.844ya722


216



www.ziyouz.com kutubxonasi



Yüklə 1,87 Mb.

Dostları ilə paylaş:
1   ...   28   29   30   31   32   33   34   35   36




Verilənlər bazası müəlliflik hüququ ilə müdafiə olunur ©genderi.org 2024
rəhbərliyinə müraciət

    Ana səhifə